» »

Intelov tik tak je mrtev

Intelov tik tak je mrtev

Slo-Tech - Zadnje desetletje je razvoj procesorjev zaznamoval Intelov pristop tik tak, ko sta si izmenjaje sledili nova družina s pomanjšano litografijo v prejšnji arhitekturi (tik) in nadgradnja arhitekture pri enako veliki litografiji. Družine so si sledile približno v letnem razmaku, a je lani prvikrat zaškripalo. Intel je namreč ugotovil, da je prehod s 14 nm na 10 nm zahtevnejši od pričakovanj, zato bo Broadwellu in Skylaku v 14 nm sledil še Kaby Lake, ki bo tudi v 14 nm. Šele potem si lahko obetamo 10 nm. To je pričakovano, saj je bil že prehod z 22 na 14 nm izjemno težaven, a so tedaj še uspeli s tik tak.

Sedaj je jasno, da zastoj ni enkraten, temveč je Intel pristop tik tak dokončno ubil. To lahko razberemo iz letnega poročila 10-K, v katerem je Intel zapisal, da bo še vsaj naslednji dve litografiji uporabljal tristopenjski cikel, ki se imenuje PAO (process-architecture-optimization). Koraki bodo še vedno trajali leto dni, tako da bomo bistvene hitrostne izboljšave sedaj videvali vsako tretje in ne več vsako drugo leto. Pri zdajšnji tehnologiji so vrata široka okrog 20 atomov, kar je tako malo, da je vsako nadaljnje krčenje sila težavno, izmet pa visok.

Ali to pomeni, da se Moorov zakon poslavlja? Mogoče. Inženirji imajo sicer na zalogi še nekaj trikov (3D-vrata, 3D-čipi, ekstremna UV litografija ipd.), razvijajo pa se tudi nove tehnologije, kot so kvantni računalniki. A trenutne arhitekture ne bo več mogoče eksponentno pomanjševati in pospeševati v nedogled. TMSC bo sicer prihodnje leto prešel na 7 nm, torej je vsaj malo prostora še vedno. Intel pa po drugi strani ve, da so njihovi procesorji v nekaterih kategorijah toliko boljši od konkurence, da jih nima smisla prehitro izboljševati. Posel pač.

71 komentarjev

«
1
2

SuperVeloce ::

Slava mu. Sicer pa nič nepričakovanega. Vsi pravijo, da jim že voda v grlo teče pri 10nm, ker bi naj TSCM hitreje uvedel novo generacijo litografije, kar pa zelo dvomim. Še trenutni 14nm ni tisti pravi scaling napram intelovemu, kje je šele 7nm.
ryzen 5900x, MSI 5700xt Gaming X, 2x16GB 3600CL16, 850evo+860qvo, Fractal Mini C

Zgodovina sprememb…

D3m0r4l1z3d ::

a se je prekmalu končal tick-tock ali kaj?
ETN Wallet addr.: etnkGuvhDzR7Dh8us4e69VStubGbmQHrh5pe2fnpNDhEhX5
A1nCWrFBMK2NmkycgVN4sAwhvY8YyNNbF6KUSJyFZ99QKU8phCn
Cryptopia ref. link: https://www.cryptopia.co.nz/Register?referrer=Anymalus

Lonsarg ::

Manjši napredek pomeni tudi manj razlike med konkurenci, torej če se je že napredek ustavil bo vsak konkurenca večja.

7982884e ::

TMSC bo sicer prihodnje leto prešel na 7 nm, torej je vsaj malo prostora še vedno.
tole so bolj buče kot kaj drugega. letos enkrat bodo izdali njihov 10nm, ki bo primerljiv z intelovim 14nm.

trnvpeti ::

a9x dela tmsc na 16nm

kako bo a10(verjetno) na 10nm(verjetno) primerljiv bomo videli

A9X can compete with both Broadwell and Skylake Core M processors, and that's something Apple couldn't claim even a generation ago

Dr_M ::

To je pričakovano, saj je bil že prehod z 22 na 14 nm izjemno težaven, a so tedaj še uspeli s tik tak.


Ce upostevamo paperlaunch core M procesorjev, potem res drzi. Na desktopu so pa eno leto preskocil.
The reason why most of society hates conservatives and
loves liberals is because conservatives hurt you with
the truth and liberals comfort you with lies.

Relanium ::

No, vsi ki mislijo, da bi še raj mal počakali z nakupom novega PCja....
Zdaj je čas!!! :)

opeter ::

Relanium je izjavil:

No, vsi ki mislijo, da bi še raj mal počakali z nakupom novega PCja....
Zdaj je čas!!! :)


Čas je takrat, ko rabiš novo (dodatno?) moč.
Hrabri mišek (od 2015 nova serija!) -> http://tinyurl.com/na7r54l
18. november 2011 - Umrl je Mark Hall, "oče" Hrabrega miška
RTVSLO: http://tinyurl.com/74r9n7j

7982884e ::

trnvpeti je izjavil:

A9X can compete with both Broadwell and Skylake Core M processors, and that's something Apple couldn't claim even a generation ago
to nima veze s procesom temveč z arhitekturo

dexterboy ::

Tole hitenje za končnega uporabnika zadnjih 10 let ni prinesla toliko željenega, kot se je pričakovalo.
In ljudje še vedno furajo 775 podnožje in ddr2 ram, s čimer so popolnoma zadovoljni.
Osebno bi raje videl, da naj ostanejo na 14 nm litografiji, pa naj izboljšajo arhitekturo, pomanjšajo procent škart robe in podobno, pa bo počasi folk tudi prešel na novo tehnologijo. Ter še malo pocenijo izdelke.
Osebno imam eno plato "by side" na 1155 podnožju, ampak je celeron notri. NIMAM pa procesorja, ki bi ga lahko kupi za RAZUMEN denar. Torej lakho fse prodam in doplačam za novo? OMFG!

Pa če je SAMO proc na hudih nanometrih, ZAKAJ niso še ostala mikroprocesorka vezja pomanjšana? Zato, da majo feni hipster orgazme na to, da nova generacija procov pokuri 7W manj od stare? In prišparajo kaj, za Winnebago?
Ko ne gre več, ko se ustavi, RESET Vas spet v ritem spravi.

blackbfm ::

In ljudje še vedno furajo 775 podnožje in ddr2 ram, s čimer so popolnoma zadovoljni.


Kdo je zadovoljen.. Tak 8 let star sistem, ko zacne ruzit po disku, iskat posodobitve, pol pa se kaksen av v ozadju, disk in proc nabija na 100 naslednje pol ure.. Prakticno neodzivno vse skup, komp direkt za fu*nit cez okno.

Zato, da majo feni hipster orgazme na to, da nova generacija procov pokuri 7W manj od stare?


Ni fora da pokuri manj, ampak to da je zmogljivost na watt vecja

nurse013 ::

blackbfm je izjavil:

In ljudje še vedno furajo 775 podnožje in ddr2 ram, s čimer so popolnoma zadovoljni.


Kdo je zadovoljen.. Tak 8 let star sistem, ko zacne ruzit po disku, iskat posodobitve, pol pa se kaksen av v ozadju, disk in proc nabija na 100 naslednje pol ure.. Prakticno neodzivno vse skup, komp direkt za fu*nit cez okno.

Zato, da majo feni hipster orgazme na to, da nova generacija procov pokuri 7W manj od stare?


Ni fora da pokuri manj, ampak to da je zmogljivost na watt vecja


A ti si se kr na oknu?
:)
you gotta be kidding me...

Isht ::

dexterboy je izjavil:

Tole hitenje za končnega uporabnika zadnjih 10 let ni prinesla toliko željenega, kot se je pričakovalo.
In ljudje še vedno furajo 775 podnožje in ddr2 ram, s čimer so popolnoma zadovoljni.
Osebno bi raje videl, da naj ostanejo na 14 nm litografiji, pa naj izboljšajo arhitekturo, pomanjšajo procent škart robe in podobno, pa bo počasi folk tudi prešel na novo tehnologijo. Ter še malo pocenijo izdelke.
Osebno imam eno plato "by side" na 1155 podnožju, ampak je celeron notri. NIMAM pa procesorja, ki bi ga lahko kupi za RAZUMEN denar. Torej lakho fse prodam in doplačam za novo? OMFG!

Pa če je SAMO proc na hudih nanometrih, ZAKAJ niso še ostala mikroprocesorka vezja pomanjšana? Zato, da majo feni hipster orgazme na to, da nova generacija procov pokuri 7W manj od stare? In prišparajo kaj, za Winnebago?


Ne vem točno, o čem govoriš, ampak kot uporabnik lahko povem, da z novejšim in hitrejšim računalnikom DEFINITIVNO lahko naredim več in hitreje. Če mi komponenta za 100EUR (po tvojem "razumen" denar) štrajka in upočasni delovni proces za recimo 15%, medtem ko mi komponenta za 400EUR (po tvojem "nerazumen" denar) dela tipi topi in računalnik dela tako hitro, kot potrebujem, brez upočasnitev, potem je tista razlika 300EUR zelo hitro poplačana že v pol leta dela.
In ker softver, ki ga uporabljam, konstantno nadgrajujejo z novimi funkcionalnostmi, že opažam, da bi lahko s hitrejšim CPUjem še pridobil, zato ne bo težko prepričat šefa, da rabim novo kišto v rangu 1500EUR, ki bo zamenjala obstoječo (zdaj 3 leta staro).

Seveda pa tajnica, ki klofa po Wordu in Excelu, pač ne rabi take zveri. Ampak to ne pomeni, da je treba napredek zaradi nje ustaviti - računalniki postajajo hitrejši zaradi uporabnikov, ki POTREBUJEMO hitrejše računalnike. A naj Intel ustavi razvoj, zato da bodo tajnice dohitele power userje? Džizs...
In hvala bogu, da proizvajalci komponent poslušajo power userje, ne pa tajnic, glede potreb po napredku.

Zgodovina sprememb…

  • spremenil: Isht ()

kixs ::

blackbfm je izjavil:

In ljudje še vedno furajo 775 podnožje in ddr2 ram, s čimer so popolnoma zadovoljni.


Kdo je zadovoljen.. Tak 8 let star sistem, ko zacne ruzit po disku, iskat posodobitve, pol pa se kaksen av v ozadju, disk in proc nabija na 100 naslednje pol ure.. Prakticno neodzivno vse skup, komp direkt za fu*nit cez okno.



Nja, takole bo ruzilo tudi najnovejse CPU cudo, ce bo imelo 2GB rama in en 160GB trdi disk. Daj v C2D sistem en SSD in 4GB rama, kot je danes standard, pa bo prakticno 90% folka mislilo, da ima komplet nov racunalnik. Ja, en 3GHz C2D je se vedno vec kot dovolj za vsakdanjo rabo.

nurse013 ::

--kikx:
Daj v C2D sistem en SSD in 4GB rama, kot je danes standard, pa bo prakticno 90% folka mislilo, da ima komplet nov racunalnik. Ja, en 3GHz C2D je se vedno vec kot dovolj za vsakdanjo rabo.

Se povsem strinjam,ko si to naredil se v casu win xp si ugotovil da je mrbit sistem ovira
:)
you gotta be kidding me...

trnvpeti ::

prva je miselnost
ce rabis obcasno cpu moc, jo lahko najames
pac ustvaris virtualko/kontajner na poljubno hitrem(kolikor pac rabis) stroju,obdelas, poberes podatke, zapres
to lahko naredis ze z c2d clientom/racunalnikom

naslednje, mal tezko je reci,da je intelov 14nm na ravni tsmc 10nm, ce lahko dam en primer od koncnega uporabnika, kjer intelov 14nm 2/4 2.4Ghz popusi proti 2/2 2.26Ghz na 16nm

iz prejsnjega sledi, da je intel zasel v slepo ulico, in ga resuje edino se monopolnost, zasidranost na trgu in denar

in se zadnje, intel je postal predrag

Šmorn ::

Če je ssd pomagal mojemu netbooku (procesor Intel N450) da je še uporaben potem ne vidim razloga, da c2d ne bi bil ok. Pustimo sedaj razne E3xxx ampak večina E6xxx in E8xxx ter seveda zmogljivejši Q6xxx, 8xxx in 9xxx so popolnoma dovolj za visenje na spletu. Vir imam enega Q6600 in enega Q8400 s po 6 GB RAM in navaden 500 GB disk.

DamijanD ::

trnvpeti je izjavil:


naslednje, mal tezko je reci,da je intelov 14nm na ravni tsmc 10nm, ce lahko dam en primer od koncnega uporabnika, kjer intelov 14nm 2/4 2.4Ghz popusi proti 2/2 2.26Ghz na 16nm


Mislim, da je bilo to mišljeno na nivoju litografije in ne na nivoju hitrosti. Torej, da je tsmc10mm v realnosti bljižje 14nm kot pa 10nm...

Thuban ::

Šmorn je izjavil:

Če je ssd pomagal mojemu netbooku (procesor Intel N450) da je še uporaben potem ne vidim razloga, da c2d ne bi bil ok. Pustimo sedaj razne E3xxx ampak večina E6xxx in E8xxx ter seveda zmogljivejši Q6xxx, 8xxx in 9xxx so popolnoma dovolj za visenje na spletu. Vir imam enega Q6600 in enega Q8400 s po 6 GB RAM in navaden 500 GB disk.


zlato pravilo: misliš da je ta kalkulator "popolnoma dovolj" hiter dokler uporabljaš samo to. Ko enkrat uporabiš i7 in ssd pač ne boš šel več nazaj na c2d in navaden hdd.

nurse013 je izjavil:

--kikx:
Daj v C2D sistem en SSD in 4GB rama, kot je danes standard, pa bo prakticno 90% folka mislilo, da ima komplet nov racunalnik. Ja, en 3GHz C2D je se vedno vec kot dovolj za vsakdanjo rabo.

Se povsem strinjam,ko si to naredil se v casu win xp si ugotovil da je mrbit sistem ovira


ja, kak od zadnji c2d procesorjev, naj bosta to e7500 ali q9xxx v kombinaciji s ssdjem je za povprečnega userja dovolj hitro, če je sistem čist in je nameščen Windows 10 + dovolj rama. Vendar se izkaže da se več kot 4 gb rama ne splača kupit, tako da hitro dobil bottleneck.
I'm sorry, Dave. I'm afraid I can't do that.

Zgodovina sprememb…

  • spremenil: Thuban ()

trnvpeti ::

>Mislim, da je bilo to mišljeno na nivoju litografije in ne na nivoju hitrosti. Torej, da je tsmc10mm v realnosti bljižje 14nm kot pa 10nm...

to je mal ugibanje, mal zavajanje
apple je izbral TSMC, z razlogom(apple je direkt prikazal primerjavo intel 14nm proti tsmc 16nm), verjetno se apple ne bi strinjal z zgoraj napisanim

tikitoki ::

Res nepricakovano.

hojnikb ::

trnvpeti je izjavil:

>Mislim, da je bilo to mišljeno na nivoju litografije in ne na nivoju hitrosti. Torej, da je tsmc10mm v realnosti bljižje 14nm kot pa 10nm...

to je mal ugibanje, mal zavajanje
apple je izbral TSMC, z razlogom(apple je direkt prikazal primerjavo intel 14nm proti tsmc 16nm), verjetno se apple ne bi strinjal z zgoraj napisanim

kot da imajo kej izbire. Ali tsmc ali samsung, druga jim pa tako ne ostane. In izbral so oba.

Verjami, če bi intel odpru svoje fabe, bi slej ko prej šli k njim
#brezpodpisa

trnvpeti ::

applu je intel drag

FireSnake ::

7982884e je izjavil:

TMSC bo sicer prihodnje leto prešel na 7 nm, torej je vsaj malo prostora še vedno.
tole so bolj buče kot kaj drugega. letos enkrat bodo izdali njihov 10nm, ki bo primerljiv z intelovim 14nm.


Se strinjam. Res so buče.

Prvič: sama govoričenja s strani TSMC že leta, pa nič konkretnega do zdaj. Praf tako GF - morajo še marsikaj pokazat.

Drugič: primerjava med 10 in 14 - izkaže se, da oboji ne merijo/mislijo iste reči, ko govorijo o nanometrih (za točnejše informacije bi moral pogooglat, sem vedel na pamet, pa sem pozabil).

Edino za AMD je tole dobra novica, ker bodo lahko začeli dohitevat. Jaz upam, da jim uspe. Po dolgih letih AMDja imma zdaj v vseh mlinčkih i7 procesorje.
Poglej in se nasmej: vicmaher.si

Zgodovina sprememb…

  • spremenilo: FireSnake ()

MindFreak ::

Nanometri gor, nanometri dol. 10 let že čakam, da bo cena za kakšen 12 core Xeon postala dovolj nizka.
Ekonomist eventuelno ustavi napredek. >:D
"Science is interesting and if you don't agree you can fuck off."

FireSnake ::

dexterboy je izjavil:


Osebno imam eno plato "by side" na 1155 podnožju, ampak je celeron notri. NIMAM pa procesorja, ki bi ga lahko kupi za RAZUMEN denar. Torej lakho fse prodam in doplačam za novo? OMFG!

Pa če je SAMO proc na hudih nanometrih, ZAKAJ niso še ostala mikroprocesorka vezja pomanjšana? Zato, da majo feni hipster orgazme na to, da nova generacija procov pokuri 7W manj od stare? In prišparajo kaj, za Winnebago?


1) Za 1150 imaš ogromno izbire. In to za pameten denar. jaz sem imel enega 45W 2.6GHz i7 notri (zraven SSD in 16GB RAMa) pa je vse letelo (imam še za prodat, a še nisem oddal oglasa).
Zdaj sem zamenjal procesor z i7 4790k. Pohitritev je, ni pa tako velika, kot sem pričakoval.


2) Pri novih generacija ne gre samo za manj porabe (čeprav je meni to tudi pomembno), ampak za večjo učinkovitost. V službi sem dobil novo računalo, ki niti ni pretirano drago, pa zadeve zdaj delam bolj učinkovito. Že nekdo zgoraj je napisal, da se ta vložek hitro povrne.

MindFreak: cena 12 jedrnih Xeonov nikoli ne bodo nizke. Zakaj pa rabiš, če smme vprašat?
Poglej in se nasmej: vicmaher.si

Zgodovina sprememb…

  • spremenilo: FireSnake ()

Ahim ::

MindFreak je izjavil:

Nanometri gor, nanometri dol. 10 let že čakam, da bo cena za kakšen 12 core Xeon postala dovolj nizka.
Ekonomist eventuelno ustavi napredek. >:D


Pred desetimi leti si za podoben denar, kot danes stane i7, pri Intelu dobil kilav, neznansko vroc in obupno pocasen dvojedrnik (Pentium D), katerega danes povozi Atom.

Ce ti niso dovolj stiri- in vecjedniki (desktop Skylake i7 in HEDT i7) za podoben znesek, potrpi se ~9 mesecev, da pride Summit Ridge (Zen) na trg. Tudi Intel se bo moral odzvati na "moar coarz", zdaj se jim pa se ni treba ...

SuperVeloce ::

trnvpeti je izjavil:

>Mislim, da je bilo to mišljeno na nivoju litografije in ne na nivoju hitrosti. Torej, da je tsmc10mm v realnosti bljižje 14nm kot pa 10nm...

to je mal ugibanje, mal zavajanje
apple je izbral TSMC, z razlogom(apple je direkt prikazal primerjavo intel 14nm proti tsmc 16nm), verjetno se apple ne bi strinjal z zgoraj napisanim

In kakšna je ta primerjava?! Niso pokazali čisto nobene primerjave med procesoma, ne zavajaj.

DamijanD je izjavil:

trnvpeti je izjavil:


naslednje, mal tezko je reci,da je intelov 14nm na ravni tsmc 10nm, ce lahko dam en primer od koncnega uporabnika, kjer intelov 14nm 2/4 2.4Ghz popusi proti 2/2 2.26Ghz na 16nm


Mislim, da je bilo to mišljeno na nivoju litografije in ne na nivoju hitrosti. Torej, da je tsmc10mm v realnosti bljižje 14nm kot pa 10nm...

Itak, na nivoju velikosti elementov tranzistorja pri litografiji vsekakor drži. Rezultat fejkanja pri merjenju litografije vidiš pri velikosti čipov, A9X je velik skoraj 150mm2

In on misli, da intel popuši proti armju, ker je selekcioniral en browser benchmark, ki je primarno razvit ravno za testiranje teh ARM arhitektur. Verjetno pa intelov sistem ni pokoristil turbota (in verjetno je bila zadeva testirana napram core M s precej nižjo frekvenco, kjer na večini zanič OEM designov procesor teče bližje 1.2ghz kot 2.4ghz).

Pozablja tudi, da intelova arhitektura skalira od 1,2ghz pa vse do 4ghz+, od 2 jeder do 18+ in precej širši nabor ukazov in zahtevanih kalkulacij, prav tako velja to za proizvodni proces... od 5W tdp pa 150W tdp... To je popolnoma drugače, kot imeti ultra low power process.

Če ARM potisneš le 1% izven njegovega comfort zone, se zadeva tako obrne, da se še angeli jokajo. Misliš, da je razlog za neuspeh ARMja kjerkoli drugje kot v mobile krivda le x86? Ziher, intel je kriv, da niti mikroserverji niso prišli niti blizu pričakovanjem.
ryzen 5900x, MSI 5700xt Gaming X, 2x16GB 3600CL16, 850evo+860qvo, Fractal Mini C

_dice7 ::

'Kje' so pa grafične na lestvici konca uporabnosti te tehnologije?

7982884e ::

trnvpeti je izjavil:

>Mislim, da je bilo to mišljeno na nivoju litografije in ne na nivoju hitrosti. Torej, da je tsmc10mm v realnosti bljižje 14nm kot pa 10nm...

to je mal ugibanje, mal zavajanje
apple je izbral TSMC, z razlogom(apple je direkt prikazal primerjavo intel 14nm proti tsmc 16nm), verjetno se apple ne bi strinjal z zgoraj napisanim

dejanske dimenzije tranzistorjev, vrat itd. so pri tsmcjevem 16nm primerljive bolj z intelovim 22nm kot 14nm. pac so nanometri postal bolj marketing kot kaj drugega, ker pac ni tocne definicije za to.

[D]emon ::

Ni tocne definicije za kaj tocno ? Nanometer ?
Mavrik ta forum uporablja za daljsanje e-penisa. Ker si ne upa iz hise.

TESKAn ::

Kaj ti nm pomenijo. Premer tranzistorja? Najmanjšo strukturo tranzistorja? Razdaljo od roba tranzistorja do roba sosednjega tranzistorja?
Uf! Uf! Je rekel Vinetou in se skril za skalo,
ki jo je prav v ta namen nosil s seboj.

FireSnake ::

[D]emon je izjavil:

Ni tocne definicije za kaj tocno ? Nanometer ?


nanometer je definiran.

Ni pa (natančno) definirano kaj se meri, ko govorimo o XY nanometrski litografiji. Eni merijo eno, drugi drugo.
Poglej in se nasmej: vicmaher.si

trnvpeti ::

SPECint_base2006 Apple A9X Core M-5Y31
MacBook 2015 A9X vs. Core M
400.perlbench 25,0 21,7 15 %
401.bzip2 17,6 14,6 21 %
403.gcc 20,5 22,8 -10 %
429.mcf 18,7 35,9 - 48 %
445.gobmk 23,4 16,9 38 %
456.hmmer 25,1 43,9 -43 %
458.sjeng 23,6 19,2 23 %
462.libquantum 74,6 292 -74 %
464.h264ref 41,3 38,4 8 %
471.omnetpp 10,3 16,3 -37 %

apple nebi šel na tsmc , če bi intel imel taksno razliko, kot jo hocete prikazati

Zgodovina sprememb…

  • spremenil: trnvpeti ()

SuperVeloce ::

In bi šel kam, če ne na TSCM? K intelu?
ryzen 5900x, MSI 5700xt Gaming X, 2x16GB 3600CL16, 850evo+860qvo, Fractal Mini C

trnvpeti ::

apple doloci standarde
denar imajo, ce ne bi nihce zadostil, bi lahko naceloma svoj fab naredili/kupili
in ocitno je tsmc zadostil in tudi intel ni mogel veliko več ponuditi

hojnikb ::

Od kdaj intel sploh ponuja svoje fabe 3rd party čipom ?
#brezpodpisa

trnvpeti ::

hojnikb je izjavil:

Od kdaj intel sploh ponuja svoje fabe 3rd party čipom ?

če bi dobili ponudbo, bi, ali mislis obratno?

hojnikb ::

Da bi svoje marže nižali zarad appla ?
I think not
#brezpodpisa

trnvpeti ::

mobile se je preselil na arm
se strezniki se bodo pocasi
arm pa tudi desktopov ne bo pustil pri miru

SuperVeloce ::

se strezniki se bodo pocasi
arm pa tudi desktopov ne bo pustil pri miru

To poslušamo že skoraj tako dolgo, kot tisto "letos bo leto linuxa na desktopu"
ryzen 5900x, MSI 5700xt Gaming X, 2x16GB 3600CL16, 850evo+860qvo, Fractal Mini C

hojnikb ::

slej ko prej bo arm prišel na vrsto tudi v teh segmentih, ampak tudi intel se nebo dal kr tako poceni :)
#brezpodpisa

SuperVeloce ::

Intel že ima svoj delež izkušenj z ARM na tem področju. Če res pride do tega, so oni že pripravljeni. Je pa njihova verzija arm čipov verjetno edina, katera bo vidla njihov proizvodni proces.
ryzen 5900x, MSI 5700xt Gaming X, 2x16GB 3600CL16, 850evo+860qvo, Fractal Mini C

Zgodovina sprememb…

Thuban ::

pa saj intel dela arm čipe. Sicer za nekega naročnika, pa vendar.
http://www.extremetech.com/computing/16...

http://seekingalpha.com/article/3229806...
Summary

Intel has announced the purchase of Altera for $16.7 billion.

Altera's product portfolio includes ARM chips fabricated on Intel's most advanced process.

Intel has indicated that it will allow fabrication of Altera's ARM chips to continue, even into the next more advanced fabrication process.
I'm sorry, Dave. I'm afraid I can't do that.

Zgodovina sprememb…

  • spremenil: Thuban ()

l0g1t3ch ::

Do sedaj še ni bilo zares dobrega ARM cpu-ja za serverje, žal :/

Nazadnje ko sem gledal neke review-e je ARM based serverski CPU popušil proti Inter Atom based xeon cpu-jem tako v porabi kot performancah...

7982884e ::

trnvpeti je izjavil:

apple doloci standarde
denar imajo, ce ne bi nihce zadostil, bi lahko naceloma svoj fab naredili/kupili
in ocitno je tsmc zadostil in tudi intel ni mogel veliko več ponuditi

za trditev, da bi apple sel k intlu proizvajat cipe, ce bi bil tam proizvodni proces boljsi, bo treba kaksna insajderska informacija.

tistele stevilke performans nimajo nobene veze. so bili armi varcnejsi tud na 28nm napram intelovem 22nm, pa ni noben, ki se spozna na to, zanikal dejstva da je intelov 22nm stopnjo pred tsmcjevim 28nm, tako kot danes z intelovim 14nm vs tsmcjev 16nm.
nekej o tem so napisali na anandtechu: http://www.anandtech.com/show/8367/inte...

Dr_M ::

ARM bo v serverje prisel tako nekako, kot itanium, sparc in podobno. Toliko, da bomo lahko rekli, da obstaja v tem segmentu.
Na desktopu bo pa kot VIA.
The reason why most of society hates conservatives and
loves liberals is because conservatives hurt you with
the truth and liberals comfort you with lies.

Bistri007 ::

tikitoki je izjavil:

Res nepricakovano.

Ti imaš pa pravi nick za to temo!
Največja napaka desetletja je bila narejena 4. novembra 2008
Oni so goljufali in Alah je goljufal, Alah je najboljši prevarant. (Koran 3:54)
Citiraj svetega očeta Benedikta XVI. in postani "persona rudis"...

FireSnake ::

trnvpeti je izjavil:

apple doloci standarde
denar imajo, ce ne bi nihce zadostil, bi lahko naceloma svoj fab naredili/kupili
in ocitno je tsmc zadostil in tudi intel ni mogel veliko več ponuditi


Intel nikoli ni ponujal nič, ker oni delajo samo zase.

Altera: Intel jo je kupil.
Poglej in se nasmej: vicmaher.si

Zgodovina sprememb…

  • spremenilo: FireSnake ()
«
1
2


Vredno ogleda ...

TemaSporočilaOglediZadnje sporočilo
TemaSporočilaOglediZadnje sporočilo
»

Prvi prenosnik z Intelovim 10-nm procesorjem je tu

Oddelek: Novice / Procesorji
258996 (5743) iosox
»

Intelovi 10-nm procesorji se odmikajo (strani: 1 2 )

Oddelek: Novice / Procesorji
8925695 (20948) PrimozR
»

Intel bo še četrtič ostal pri 14 nm (strani: 1 2 3 )

Oddelek: Novice / Procesorji
12229572 (23750) PrimozR
»

Za zdaj konec Intelovega ritma tik-tak (strani: 1 2 )

Oddelek: Novice / Procesorji
6020979 (16896) Glugy
»

Prihaja 14 nm

Oddelek: Novice / Procesorji
3915507 (12763) hojnikb

Več podobnih tem