» »

Kod hodijo Intelovi 10-nm procesorji?

Kod hodijo Intelovi 10-nm procesorji?

Slo-Tech - Intel je po dolgem čakanju končno jasno napovedal, kdaj lahko pričakujemo računalnike z 10-nm procesorji. Litografija, ki bi po prvih načrtih morala med kupce že leta 2015, bo za množično rabo nared za božično nakupovalno obdobje prihodnje leto. Do predstavitev prvih modelov imamo torej še vsaj leto.

Intel je seveda že izdelal procesor v 10-nm tehnologiji, a gre za en sam šibak model. Intel Core i3-8121U najdemo v enem samem modelu prenosnikov, in sicer v Lenovo Ideapad 330. Kako težavna je izdelava 10-nm čipov, priča tudi dejstvo, da omenjeni procesor nima vgrajenega grafičnega čipa, kar je v i3 sicer običaj. Ostali procesorji, ki imajo iziti letos, bodo vsi izboljšave na 14-nm litografiji - Whiskey Lake in Amber Lake. Za več čipov v 10 nm bo treba počakati do sredine prihodnjega leta.

AMD je medtem proizvajal prvo generacijo procesorjev Ryzen v 14-nm tehnologiji, drugo pa v 12-nm. Prihodnje leto naj bi AMD začel prodajati čipe v 7 nm. Neposredna primerjava med Intelovim in Globalfoundriesovim (za AMD) procesom ni najbolj poštena, ker je pri enakih nanometrih na vezju različna gostota elementov, a osnovno dejstvo ostaja. Prehod na 10 nm je težak, AMD pa ne caplja več za Intelom.

Po drugi strani pa Intel težav v razvojno-proizvodnem delu ne čuti na poslovnem področju. Poslovni rezultati za drugo letošnje četrtletje so bili zelo dobri, za kar pa je večinoma odgovoren oddelek za superračunalnike in računske centre. Podjetje je ustvarilo 17 milijard dolarjev prihodkov (+17 %) in 5 milijard dolarjev dobička (+78 %), kar je bistveno več kot v enakem obdobju lani. Od tega so superračunalniki in centri prinesli 2,7 milijarde dolarjev dobička.

23 komentarjev

filip007 ::

Osebno čakam na 1nm, manj kot 45nm Quad trenutno ne potrebujem.
Palačinka z Ajvarjem in stopljenim sirom v mikrovalovki.

tikitoki ::

filip007 je izjavil:

Osebno čakam na 1nm, manj kot 45nm Quad trenutno ne potrebujem.


SEo bos pa nacakal oz. cisto mozno, da ne bos tega dozivel.

filip007 ::

7,5,3 in 1nm.
Palačinka z Ajvarjem in stopljenim sirom v mikrovalovki.

keitai ::

Med temi koraki bodo vedno večji zamiki.

mihagr ::

Iz 1mikrometra na1nanometer, to je tisočkrat manj kot je bila litografija leta 1984 v Sloveniji zadnjih načrtovanih ic vezij. To so bili časi, ko smo še design delali malo manj kot na "roke".

next_byte ::

7, morda 5 za mobile, konec igre čas za druge tehnologije.

Zgodovina sprememb…

Motion ::

filip007 je izjavil:

7,5,3 in 1nm.

Bo preteklo še kar nekaj časa do 1nm. Tja do 5nm bo se šlo najbrš, potem se pa zna kar ustavit. Bo treba kaj druzga pogruntat.

Zgodovina sprememb…

  • spremenilo: Motion ()

tikitoki ::

filip007 je izjavil:

7,5,3 in 1nm.


Cez cas pa 0,1 nm?

nekikr ::

Manj kot 7 bo izredno težko, karkoli manj od 5 bo zahtevalo pa čisto drug pristop.

vostok_1 ::

mihagr je izjavil:

Iz 1mikrometra na1nanometer, to je tisočkrat manj kot je bila litografija leta 1984 v Sloveniji zadnjih načrtovanih ic vezij. To so bili časi, ko smo še design delali malo manj kot na "roke".


Kje pa se je v jugi delalo litografijo oz. semiconductor manufacture? Iskra?
There will be chutes!
It came from the lab.
Like tears in rain. Time to die. v_1 2012-21

FireSnake ::

filip007 je izjavil:

Osebno čakam na 1nm, manj kot 45nm Quad trenutno ne potrebujem.


Je tebi jasno kak je premer atoma?
Premer silicijevega atoma je 0.2nm.

Koliko atomov rabiš, da sestaviš tranzistor? No, te bom pustil, da se sam malo izobraziš.

Sploh pa, 1nm česa?
Razmaka? Dolžine vezi? Česa?
Poglej in se nasmej: vicmaher.si

Miha 333 ::

vostok_1 je izjavil:

mihagr je izjavil:

Iz 1mikrometra na1nanometer, to je tisočkrat manj kot je bila litografija leta 1984 v Sloveniji zadnjih načrtovanih ic vezij. To so bili časi, ko smo še design delali malo manj kot na "roke".


Kje pa se je v jugi delalo litografijo oz. semiconductor manufacture? Iskra?

Iskra zagotovo, Ei Niš, verjetno pa še kje.

mihagr ::

Vostok_1:
Ja Iskra Mikroelektronika in EF v Lj. (Janez T.) Že 1976 je obstajal Joint development team z ameriškim AMI-jem, waferje pa se je v glavnem procesiralo v Ameriki in kasneje od ~1980 v Avstriji (Unterpremsteten) malo pred Gradcom.
Ta skupina Janeza T. (ne vsi isti ljudje) še danes delajo (tu so bili razviti čipi kot: timer, štiri bitni CPU, kodeki in stikala za telefonijo, pa nekaj za mehanotehnika Izola, pa elektronika za nekakšne ruske raketomete, posebni audio ojač. za vgradnjo v slušalke čelad pri topničarjih, pa seveda precej čipov za avtoelektroniko za Bosch, pa analogni operacijski ojač. z mejno frekvenco tam 150Mhz (leta 1980) -pazi narejeno kot cmos vezje, pa neki pametni kluči za neko ameriško firmo IMP, za tistega g.Franceta Rodeta, pa še kaj. Ja polprevodniki pa so se delali tudi v, mislim da Trbovljah predvsem diode in neke tranzistorje. Pa seveda debelo plastna tehnologija je bila takrat tudi dobro razvita v Jugi.
Iz tega se je potem razvila še ena skupina (podjetje v Lj), ki prav tako še nekaj načrtuje, ampak to je bilo že za mojim časom.

Tisti glavni, ki še danes to počno: Janez Trontelj, Anton Pleteršek, Vinko Kunc, Andrej Vodopivec, Slavko Starašinič, Radko Osredkar, Marijan Maček, Ivan Jan Lokovšek, Drago Strle, Dušan Raič

Zgodovina sprememb…

  • spremenilo: mihagr ()

zee ::

V povezanih novicah je treba tudi omeniti, da je Intel naznanil konec Xeon Phi procesorjev, ki jih je razvil kot enostavnejšo alternativo Nvidi-ji in njeni CUDI, vendar se zadeva ni prav dobro prijela.
zee
Linux: Be Root, Windows: Re Boot
Giant Amazon and Google Compute Cloud in the Sky.

jb_j ::

baje jih že imajo in petke in sedmke, (ne vem kje sem prebral),
samo imajo neke probleme v stabilnosti. (toms hardware, men se zdi kkšn let nazaj)

imajo pa srečo, da je amd postavil konkurenco,
ker imajo rekordno leto prodaje pri intelu.

(dobr, al pa moje teorije zarote, da se ja razvoj nekak ustavl,
in da je intel prepustu mal borbe za boljšo prodajo,
in posledično kapne več denarja in enim in drugim, za nove raziskave)
še intel je amd-ju prepustu grafična jedra pri nekih projektih,
nuc-i in še nekateri men se zdi.

Zgodovina sprememb…

  • predlagalo izbris: zee ()

vostok_1 ::

mihagr je izjavil:

Vostok_1:
Ja Iskra Mikroelektronika in EF v Lj. (Janez T.) Že 1976 je obstajal Joint development team z ameriškim AMI-jem, waferje pa se je v glavnem procesiralo v Ameriki in kasneje od ~1980 v Avstriji (Unterpremsteten) malo pred Gradcom.
Ta skupina Janeza T. (ne vsi isti ljudje) še danes delajo (tu so bili razviti čipi kot: timer, štiri bitni CPU, kodeki in stikala za telefonijo, pa nekaj za mehanotehnika Izola, pa elektronika za nekakšne ruske raketomete, posebni audio ojač. za vgradnjo v slušalke čelad pri topničarjih, pa seveda precej čipov za avtoelektroniko za Bosch, pa analogni operacijski ojač. z mejno frekvenco tam 150Mhz (leta 1980) -pazi narejeno kot cmos vezje, pa neki pametni kluči za neko ameriško firmo IMP, za tistega g.Franceta Rodeta, pa še kaj. Ja polprevodniki pa so se delali tudi v, mislim da Trbovljah predvsem diode in neke tranzistorje. Pa seveda debelo plastna tehnologija je bila takrat tudi dobro razvita v Jugi.
Iz tega se je potem razvila še ena skupina (podjetje v Lj), ki prav tako še nekaj načrtuje, ampak to je bilo že za mojim časom.

Tisti glavni, ki še danes to počno: Janez Trontelj, Anton Pleteršek, Vinko Kunc, Andrej Vodopivec, Slavko Starašinič, Radko Osredkar, Marijan Maček, Ivan Jan Lokovšek, Drago Strle, Dušan Raič


That's pretty cool actually.

Zapiši to zgodovino nekje, da ne gre v pozabo.
There will be chutes!
It came from the lab.
Like tears in rain. Time to die. v_1 2012-21

BigWhale ::

vostok_1 je izjavil:

Zapiši to zgodovino nekje, da ne gre v pozabo.


Je ze. ;>

pegasus ::

Lokovšek je še živ? Smo mulci njegove reglerje iz Tima lotali ...

jb_j ::

je pa keč,
da ćeprav danes iskra izumira, (ne glede meritvenih naprav),
aaaaaaaaj in pol jih dons povezujejo z miljon drugih stvari, (kot v tej novici)
čeprav so bili daleč,daleč,daleč,daleko od vsega

so imeli nekoč neke idejne (revolucionarne) vodje,
zarad katerih je še danes firma na nivoju, (čeprav je zaostala v današnjem svetu)
ker so nekak predstavlal hišno elektronko v svetu.

dons to delajo kitajci, v evropi se kregajo le ali je
CE znak pravilno zrisan, čeprav te kineske naprave delujejo bolj na nivoju.


in ne morjo narest takšne iskre kot je bla včasih.

Zgodovina sprememb…

  • predlagalo izbris: camx ()

7982884e ::

Intelov 10 nm je nekako primerljiv TSMCjevem 7 nm, GloFo/Samsung 7 nm je malo vecji, ce se prav spomnim. Pa verjetno ima veze to, da mora intel izdajat cipe bistveno visjih frekvenc (do 5ghz), medtem ko TSMC ne glih. Tudi sicer je na timelinu kar nekaj iteracij 10 nm procesa, preden bo performancno ujel njihov trenutni "14nm++" al whatever. Shrinkage na desktopu itak nima vec pomena, samo se na mobile delno.

tikitoki ::

7982884e je izjavil:

Intelov 10 nm je nekako primerljiv TSMCjevem 7 nm, GloFo/Samsung 7 nm je malo vecji, ce se prav spomnim. Pa verjetno ima veze to, da mora intel izdajat cipe bistveno visjih frekvenc (do 5ghz), medtem ko TSMC ne glih. Tudi sicer je na timelinu kar nekaj iteracij 10 nm procesa, preden bo performancno ujel njihov trenutni "14nm++" al whatever. Shrinkage na desktopu itak nima vec pomena, samo se na mobile delno.


Bistveno manjsa poraba nima pomena? Yeah right:).

7982884e ::

Prosim pokazi bistveno manjso porabo intelovega 14nm procesorja na 4ghz napram intelovem 22nm procesorju na 4ghz.

JackOdpirac ::

pegasus je izjavil:

Lokovšek je še živ? Smo mulci njegove reglerje iz Tima lotali ...

Je tako, sam si pravi povratnik iz onostranstva :D

Jih je pa kar precej od tistih zgoraj omenjenih že lepo kar v penziji. Samo jim to ne preprečuje dizajniranja novih čipuzljev :)


Vredno ogleda ...

TemaSporočilaOglediZadnje sporočilo
TemaSporočilaOglediZadnje sporočilo
»

Intelovi 10-nm procesorji Sunny Cove končno prihajajo

Oddelek: Novice / Procesorji
3411137 (5794) Jst
»

Intelovi 10-nm procesorji se odmikajo (strani: 1 2 )

Oddelek: Novice / Procesorji
8925694 (20947) PrimozR
»

Samsung začel proizvodnjo 10-nm SoC

Oddelek: Novice / Procesorji
2210191 (8067) FireSnake
»

IBM izdelal prvi 7-nm čip

Oddelek: Novice / Procesorji
2410164 (6769) Qushaak
»

Izrael premagal Irsko, Intel bo tam proizvajal v 10 nm (strani: 1 2 )

Oddelek: Novice / Ostale najave
5823820 (20913) AndrejO

Več podobnih tem