» »

Intel želi procesorsko krono nazaj do leta 2025

Intel želi procesorsko krono nazaj do leta 2025

preimenovani procesi

vir: Intel

vir: Intel

levo današnji čipi, desno PowerVia

vir: Intel

Foveros Omni

vir: Intel
Intel - Modri procesorski velikan je na dogodku Intel Accelerated prvič nekaj nadrobneje predstavil dejanske proizvodne tehnologije, s katerimi se želi v roku petih let otresti trenutnega zaostanka za konkurenco in vnovič zavladati tako področju proizvodnje računalniških čipov kot tudi trgu samih procesorjev.

Da je Intel konec preteklega desetletja padel v tehnološko luknjo, je že precej obrabljeno dejstvo. Preskok s 14-nanometrskega na 10-nanometrski proizvodni proces se je prav spektakularno ponesrečil, posledice pa bo podjetje čutilo še nekaj časa, saj sta na področju proizvodnje TSMC in Samsung pridobila konkretno, nekajletno prednost, medtem ko pri samih čipih AMD tudi veselo utripa z vzvratnimi lučmi. Poglaviten problem je torej v optimizaciji razvoja proizvodnje in da bi se modri tabor vrnil na pota stare slave, je v preteklih mesecih potegnil nekaj konkretnih potez. S februarjem je na čelo podjetja stopil veteran Pat Gelsinger, ki je v vrnitev prepričal še nekaj preteklih zaslužnih intelovcev in na čelo oddelka za načrtovanje proizvodnje postavil Ann Kelleher. Marca je pripravil prvo predstavitev sprememb v usmeritvi podjetja: po novem bo Intel izkoriščal tudi proizvodne kapacitete konkurence in obenem lastne ponudil navzven. Toda podrobnosti o samih tovarniških procesih je takrat še zadržal - do zdaj.

Prezentacija Intel Accelerated je razgrnila načrte do približno leta 2025, ko Gelsinger in druščina želijo ponovno stopiti na vrh proizvodnje polprevodnikov in hkrati AMDju pokazati, kdo je šef. Preden pa se lotimo samih procesov, je na vrsti še ena novost: Intel je njihova imena naposled preimenoval tako, da se bolj skladajo z nazivi konkurence. Že od nastopa tranzistorjev FinFET sicer velja, da nazivi (v nanometrih) ne pomenijo več dejanske velikosti elementov, temveč "jakostne ekvivalente". Tak premik k vse bolj votlim oglaševalskim nazivom, ki so se razlikovali od firme do firme, pa je imel za stransko posledico vse večje razhajanje med akterji. Poznavalci smo denimo vedeli, da je Intelov 10 nm proces po merah zelo blizu TSMC 7 nm, medtem ko je laične opazovalce takšna kaprica hitro zmedla. Z novo nazivno shemo (na prvi sliki) bo zdaj družba bližje položaju v TSMC in Samsungu in nemara so komični pluski zgodovina.

Pri tem so spremenjeni zgolj prihodnji procesi; 10 nm Enhanced SuperFin, ki bo med drugim podlaga hibridnega procesorja Alder Lake, Xeon platforme Sapphire Rapids in grafičnega čipa Xe-HP v naslednjem letu, je po novem Intel 7. Prejšnji Intel 7 nm je odslej Intel 4; videli ga bomo na primer v procesnem čipletu CPUja Meteor Lake, predvidoma leta 2023. Sledi proces Intel 3, nato pa nova zanimiva sprememba - prehod na velikostno poimenovanje z angstromi, desetinami nanometra. Sem spadata dva procesa, 20A in 18A v letih 2024 in 2025. Predvidoma, se ve. Medtem ko koncepti do Intela 3 gradijo predvsem na vse obsežnejši rabi EUV litografije, pa 20A predvideva dve pomembni tehnološki novosti: prvo implementacijo tranzistorja GAAFET in pa nanosa žičk na obeh straneh računskega dela čipa (backside power delivery). Obojemu so v Intelu po stari navadi nadeli lastna tržna naziva: RibbonFET in PowerVia.

GAAFET je tehnološki naslednik aktualnega tranzistorja FinFET: medtem ko ima pri slednjem kanal obliko več pokončnih "plavuti", ga v GAAFET predstavlja več vodoravno zloženih nanolističev. Intelov RibbonFET bo imel kanal očitno sestavljen iz štirih lističev. Velja omemba, da namerava tudi TSMC takšen tip tranzistorja uporabiti v 2 nm procesu, medtem ko ga želijo v Samsungu, kjer ga označujejo z MBCFET, uvesti že na treh nanometrih. Druga omenjena tehnologija, PowerVia, se tiče skupka bakrenih vodil, ki do logičnih vrat in pomnilniških celic dovajajo energijo in ukaze. Trenutno so pospravljene v eni debeli plasti, ki jo med nastankom čipa sestavijo nazadnje, zato ima naziv BEOL ali back end of line (v nasprotju s FEOL ali front end of line, kamor sodijo tranzistorji in sorodno vezje). Pri backside power deliveryju se, imenu primerno, napajalne žičke loči od kontrolnih in se jih postavi na drugo stran čipa. Logično vezje s tranzistorji je tako nekako v sendviču obeh plasti z žičkami. Med dobre strani tega pristopa sodijo krajši vodi, saj je "vozlanja" manj, in pa manjše motnje. Slaba plat je zahtevnejše hlajenje, saj se je treba dodatno posvetiti odvajanju toplote iz same sredice, ker se tranzistorji od vseh delov čipa najbolj grejejo.

Glede na pretekle tegobe podjetja z dejanskim izpolnjevanjem obljub okoli uvajanja novih procesov ne gre zameriti, če bralci ob zapisanem zavijate z očmi in v Santa Clari se morajo še (vnovič) dokazati. Toda vsaj en velik partner je že najavil sodelovanje ter Intelu s tem izkazal določeno zaupanje: Qualcomm, ki se je priključil razvoju 20A procesa. Tudi sicer bo iskanje strank Intelovih tovarn inženirje verjetno spodbudilo, da bodo pri razvoju odslej bolj pazljivi. Pri 18A procesu velja omeniti še, da naj bi tam v igro stopila nova generacija ASMLjevih EUV naprav, z nazivom High-NA (za numerical aperture). Te naprave bodo sposobne proizvesti močnejše in širše laserske snope, s tem pa natančneje izražene meje med elementi v siliciju. Čeprav Intel trenutno v količini EUV strojev zaostaja za konkurenco, pa naj bi že imel zakupljeno prednostno količino High-NA naprav.

Za konec so razdelali še novosti pri obeh naprednih tehnologijah pakiranja čipov, EMIB in Foveros. Pri prvi (Embedded Multi-Die Interconnect Bridge) gre za način povezovanja ploskovno razporejenih čipletov, kjer v substrat vdelajo dodaten sloj silicija (vstavek ali interposer) z vdelanimi žičkami, čemur pravijo EMIB mostiček. Z mostički se čipleti pogovarjajo skozi vtičnice, ki so v trenutni generaciji EMIB, ki jo bomo videli tudi v platformi Sapphire Rapids, vdelane na razmiku 55 mikrometrov. Pri generaciji zatem bo ta razmik znašal 45, v tretji pa 36 mikrometrov. Tudi Foveros gre po poti manjšanja razmika med povezavami, a ker gre tu za tehnologijo zlaganja čipa v plasti (3D), so stvari malo bolj zapletene. V prezentaciji smo najprej spoznali tretjo generacijo Foverosa, Omni. Glavna novost se tiče sposobnosti, da se na vrh povezne čiplete, ki so površinsko večji od plasti pod njimi, kar doslej ni bilo mogoče. Dobra stran te zmogljivosti je v možnosti, da se v prazen prostor v vmesnih plasteh, ki tako nastane, postavi napajalna vodila, ki so morala doslej prebadati vmesne sloje in so s tem povzročala motnje. Četrta generacija Foverosa, Direct, pa bo obravnavala drug problem - optimizacijo bakrenih povezav med plastmi. Pri Directu naj bi odstranili potrebo po spajkanju povezav, saj naj bi se vodila prilegala tako tesno, da bodo spojili baker z bakrom. Seveda bo to terjalo danes težko dojemljivo natančnost v izdelavi in zanimivo bo videti, kako se bo rešitev obnesla v množični proizvodnji.

32 komentarjev

FlyingBee ::

Zelo dobro napisan članek, vse pohvale. A so delnice kar že za kupit?
P200 MMX, 32mb ram, 2gb HDD, s3 virge 2mb, 14" CRT 640x480
New Sphincter Kvartet:
Roko Spestner, Namaž Zlevčar, Daje Heading, Maraje Spetan

srus ::

Super, sedaj potrebujejo samo še Powerpoint to silicon converter ;-)

@FlyingBee, Intlove delnice so vedno za kupit, po zadnji objavi četrtletnih rezultatov so nekaj dni nazaj padle za 5%, ker so bili rezultati "samo" v okviru pričakovanih, brez presežnikov. Torej kar odpri denarnico, po moji oceni je sedaj primeren čas za nakup za dolgoročnega, ne preveč pohlepnega investitorja.

pikachu004 ::

Se strinjam s tabo @srus glede delnice. Intel je še vedno rastoče podjetje, ocenjeno na samo 12x letnega dobička. Ob 'samo' 30% izplačilu dobička delničarjem dobimo ~2,5% dividendo, kar je za USA super. Večina drugih primerljivo velikih podjetij je višje vrednotenih, kar pomeni da morejo za podobno dividendo izplačati ~celoten dobiček. Trenutno moja najbolj priljubljena delnica :)

gruntfürmich ::

delnice so seveda že zdavnaj kupljene, sedaj samo čakamo na explozivno rast :D
"Namreč, da gre ta družba počasi v norost in da je vse, kar mi gledamo,
visoko organizirana bebavost, do podrobnosti izdelana idiotija."
Psiholog HUBERT POŽARNIK, v Oni, o smiselnosti moderne družbe...

filip007 ::

Cele litanije, vas je Intel plačal?

Pričakujem dvojno dolg članek za AMD o Zen 4 in RDNA 3.
Prenosnik, konzola, TV, PC upokojen.

Aggressor ::

filip007 je izjavil:

Cele litanije, vas je Intel plačal?

Pričakujem dvojno dolg članek za AMD o Zen 4 in RDNA 3.


Ne, me že Bil Gejc in iluminati plačujejo za propagiranje cepiv.
en CRISPR na dan odžene zdravnika stran

Jarno ::

Čeprav Intel trenutno v količini EUV strojev zaostaja za konkurenco, pa naj bi že imel zakupljeno prednostno količino High-NA naprav.

Tole malce smrdi po klientelizmu, vezah in poznanstvih. Razen, če so morda so-investirali v razvoj in proizvodnjo.


Intel je v osnovi dolgočasna firma, ki zbuja zaupanje pri ziheraških entitetah.
Se jim pa je pripetil vzpon kravatarjev in vladavina skomercializirane pameti.
Mogoče bo lekcija zalegla...
#65W!

Mr.B ::

Sej je lepo napisano...
Intel rabi še štiri leta da ujame /prehiti AMD.
Imenovanja kot si /, 5 20A so pa zato ker ljudje gledajo na nm in ne na gostoto. Res pa da intlu ti v zelje hodivedno visja poraba...
France Rejects Genocide Accusations Against Israel in Gaza,
To accuse the Jewish state of genocide is to cross a moral threshold

ales85 ::

Ob predpostavki da AMD od zdaj naprej napreduje počasneje, ali pa sploh ne?

Prospekt ::

Jarno je izjavil:

Čeprav Intel trenutno v količini EUV strojev zaostaja za konkurenco, pa naj bi že imel zakupljeno prednostno količino High-NA naprav.

Tole malce smrdi po klientelizmu, vezah in poznanstvih. Razen, če so morda so-investirali v razvoj in proizvodnjo.


Intel je v osnovi dolgočasna firma, ki zbuja zaupanje pri ziheraških entitetah.
Se jim pa je pripetil vzpon kravatarjev in vladavina skomercializirane pameti.
Mogoče bo lekcija zalegla...

Seveda je Intel tudi eden od investitorjev v razvoj te tehnologije.
ASML ima investitorje med vsemi večjimi igralci na procesorskem trgu.

In July 2012, Intel announced a deal to invest $4.1 billion into ASML in exchange for 15% ownership

Zgodovina sprememb…

  • spremenil: Prospekt ()

Aggressor ::

Jarno je izjavil:

Čeprav Intel trenutno v količini EUV strojev zaostaja za konkurenco, pa naj bi že imel zakupljeno prednostno količino High-NA naprav.

Tole malce smrdi po klientelizmu, vezah in poznanstvih. Razen, če so morda so-investirali v razvoj in proizvodnjo.


Na povezavi je razloženo, da imajo vsi trije veliki proizvajalci ~5% delež v ASML.

EDIT: ninja :D
en CRISPR na dan odžene zdravnika stran

Zgodovina sprememb…

Prospekt ::

Na povezavi? V članku?

Seveda se prebere samo naslov in potem pogleda v komentarje, da vidiš, če je komu treba kej razložit. :D

Aggressor ::

Prospekt je izjavil:

Na povezavi? V članku?

Seveda se prebere samo naslov in potem pogleda v komentarje, da vidiš, če je komu treba kej razložit. :D


Pssst, saj zato v novice na ST ne dajemo boldanih uvodov, ker potem bi ljudje lahko po pomoti prebrali še tisto. ;)
en CRISPR na dan odžene zdravnika stran

Jarno ::

No, no, razlog da vzhičeno počakamo restriktivno zakonodajo glede na Artikla 15 in 17 tudi pri nas. ;)
Sicer pa ni samo po sebi umevno, da si kdo zagotovi strateško prednost. ViP d.o.o.

Globe za nepoštene prakse do AMD-ja na primer niso poravnali...
#65W!

Zgodovina sprememb…

  • spremenil: Jarno ()

Haniball ::

Intel sploh loči med Å in A? Ker trenutno so predstavili 20 amperske procesorje :))

Zgodovina sprememb…

  • spremenil: Haniball ()

filip007 ::

Ja, rabil boš 3 faze za to serijo in seveda 20A varovalko.
Prenosnik, konzola, TV, PC upokojen.

bbbbbb2015 ::

Haniball je izjavil:

Intel sploh loči med Å in A? Ker trenutno so predstavili 20 amperske procesorje :))


Tudi iz tega se (zaenkrat) vidi, da je Intel v defenzivi (še zaenkrat). To je vse, oz. večina "sanja svinja kukuruz", Intel je naredil načrte tako daleč v prihodnost (2025), da se bodo redki aspomnili tega leta, kaj so 2021 sploh obljubili.

AMD da roadmap tipično za to in za naslednje leto natanko, ostalo pa približno. Funkcija roadmapa je, da partnerji približno vedo, kje AMD (oz. Intel) JE.

Intelov roadmap pa je - vsaj zaenkrat - wishful thinking.

7982884e ::

Haniball je izjavil:

Intel sploh loči med Å in A? Ker trenutno so predstavili 20 amperske procesorje :))

cestitke za danasnjo krono pametovanja.

v ostalih izrednih novicah: "N" v bistvu sploh ni oznaka za nanometer.

je pa marketnisko ime. tako kot "A".

GR2 ::

Meni ni jasno, če je bil prehod iz 14nm na 10nm tako težaven, celih 6 let, kako se Intelu lahko zdi da jim bo sedaj naprej teklo kot po maslu?
2025 da bodo imeli 1,8nm, trenutno pa še kar prodajajo 14nm. To je skoraj 10x manj v 4 letih, potem pa svizec zavije čokolado.

WhiteAngel ::

GR2 je izjavil:

Meni ni jasno, če je bil prehod iz 14nm na 10nm tako težaven, celih 6 let, kako se Intelu lahko zdi da jim bo sedaj naprej teklo kot po maslu?
2025 da bodo imeli 1,8nm, trenutno pa še kar prodajajo 14nm. To je skoraj 10x manj v 4 letih, potem pa svizec zavije čokolado.


Zgovoren je tudi podatek, da Intelovih 10nm ni isto kot TSMCjevih 10nm.

Haniball ::

7982884e je izjavil:

Haniball je izjavil:

Intel sploh loči med Å in A? Ker trenutno so predstavili 20 amperske procesorje :))

cestitke za danasnjo krono pametovanja.

v ostalih izrednih novicah: "N" v bistvu sploh ni oznaka za nanometer.

je pa marketnisko ime. tako kot "A".


Če smo že pikolovski, oznaka za "nano" (in zgolj "nano") je "n" in ne "N". NanoMETER je pa seveda "nm". Če ciljaš na to, da Intel oznak ne uporablja pravilno, pa bi ti mogoče izpostavil da "Intel 7" ne vsebuje oznake "nm". Tako da v tem primeru je "Intel 7" marketinška oznaka, ki nima veze z nanometri. Za razliko od "Intel 20A", kjer izrecno omenjajo angstrome, ki so (zastarela) merska enota.

bbbbbb2015 ::

GR2 je izjavil:

Meni ni jasno, če je bil prehod iz 14nm na 10nm tako težaven, celih 6 let, kako se Intelu lahko zdi da jim bo sedaj naprej teklo kot po maslu?
2025 da bodo imeli 1,8nm, trenutno pa še kar prodajajo 14nm. To je skoraj 10x manj v 4 letih, potem pa svizec zavije čokolado.


To je v bistvu zelo enostavno. Glede na to, kako se elementi na čipu (tranzistorji, poti med njimi) zmanjšujejo, hkrati elementi rastejo v višino. Torej število tranzistorjev na čipu raste, hkrati pa rastejo v višino. Tukaj ne gre za 3D tehnologijo, ampak v bistvu za ohranjanje dovolj atomov, da tranzistor dela. Tej rasti v višino je podrejeno vse: parametri osvetljevalk, kemične spojine, timingi obdelav, tudi maske - ki jih sicer Intel dela sam.

Hkrati z zmanjšanjem tranzistorjev prihaja do opaženih defektov na čipu, predvsem zaradi uklanjanja svetlobe. Te defekte je potrebno "offsetati" - torej jih pričakovati in spremeniti masko, da se defekt anulira.

Intel je na prehodu iz 14nm na 10nm naredil napako, ker je premalo povečal višino čipov. Najbolj je čipe povišal Samsung, nato TSMC. Kot vse kaže, je TSMC zadel (oni pravijo izračunal) pravo mero zvišanja, da so defekte offsetali dokaj zlahka in so čipi delali zanesljivo.

Intel se je ves čas boril z defekti in počasi je postalo jasno, da so premalo povišali čipe. Zdaj ali so konkurenčne izdelke dali pod elektronski mikroskop ali pač ne vem, kdaj so ugotovili, da so zafrknili.

Intel je vztrajno odklanjal, da bi šli zopet "z nule", torej nove osvetljevalke (oz. bistveno spremenjene obstoječe), fabrike vse predelati.

Kako so problem rešili ne vem. Intel trdi, da so pač offsetali defekte in da so na "pravi poti". Dejstvo pa je, da je (po moje) še vedno nekaj narobe. 10nm izdelki bi se morali dati navijati do višjih frekvenc, dejansko so na istem oz. celo na malo slabših frekvencah. Načeloma so tokovi manjši, čipise manj grejejo in bi se čipi morali dati navijati bolj. Pa se ne.

Jaz sumim, da tirajo obstoječi 10nm postopek naprej, da so z muko offsetali defekte, da pa bodo 7nm bistveno povišali in bodo šele tam povsem konkurenčni TSMC 5nm postopku.

Pa še nekaj:
Intel preprosto ne bi zmogel 10nm postopka prignati do 5.2GHz, na koliko tečejo (trenutni) z15 mainframe procesorji:
https://en.wikichip.org/wiki/ibm/microa...

Samsung je obljubil nekaj, da so se pri IBMu odločili da bo (za zdaj) Power CPU narejen pri Samsungu:
https://www.nextplatform.com/2018/12/20...

Zgodovina sprememb…

Mike_Rotch ::

Do 2025 bo Intel verjetno res proizvajal najhitrejše procesorje na trgu (če jim uspe dobiti posel z Applom in začnejo štancat njihove čipe).

Zimonem ::


Hkrati z zmanjšanjem tranzistorjev prihaja do opaženih defektov na čipu, predvsem zaradi uklanjanja svetlobe. Te defekte je potrebno "offsetati" - torej jih pričakovati in spremeniti masko, da se defekt anulira.

Trenutno za euv kolikor sem bral Intel, ne zna zaščitit maske čipa pred onesnaženjem. Svoje čase je bil selofan ,pri euv pa ta ne deluje.

FlyingBee ::

bbbbbb2015, od kje ti vse te info oziroma znanje? En velik Like za tvoj post.
P200 MMX, 32mb ram, 2gb HDD, s3 virge 2mb, 14" CRT 640x480
New Sphincter Kvartet:
Roko Spestner, Namaž Zlevčar, Daje Heading, Maraje Spetan

mtosev ::

Izgleda, da Intel misli resno prehiteti AMD in si želi nazaj krono.
Core i9 10900X, ASUS Prime X299 Edition 30, 32GB 4x8 3600Mhz G.skill, CM H500M,
ASUS ROG Strix RTX 2080 Super, Samsung 970 PRO, UltraSharp UP3017, Win 11 Pro,
Enermax Platimax 1700W | moj oče darko 1960-2016, moj labradorec max 2002-2013

bbbbbb2015 ::

FlyingBee je izjavil:

bbbbbb2015, od kje ti vse te info oziroma znanje? En velik Like za tvoj post.


Torej, jaz sem po izobrazbi magister informatike. Svojčas me je zelo zanimal hardver, naredil sem že simulator večprocesorskega računalnika. Potem sem ugotovil, da v Sloveniji tega ni možno prodajati oz.se z izdelavo procesorjev ali vezij preživljati. Zato sem se kasneje ukvarjal izključno z razvojem softvera.

Drugače pa dosti trgujem z delnicami firm, v portfelju imam in AMD in INTEL. Špilam sam sebi analitika, novice (v osnovi) pobiram tukaj:
https://www.anandtech.com/

Anantech je zelo dober, ker imajo tudi članke o poslovnih rezultatih, ki pa jih napišejo iz vidika tehnologije:
https://www.anandtech.com/show/16848/am...

Potem pa pač kakor kaže, AMD ima sedaj odlične rezultate, glede na lani so podvojili promet. To sem pričakoval, pričakoval sem tudi, da bo Intel svoj roadmap zmečkal kot robček. Intel seveda z 10nm procesom nima kaj, prej ga da na smetišče, boljše bo. Samo rabi drug, 7nm proces. Z njim so na dobri poti in bodo gotovo eksplodirali. Na žalost novi šef Intela noče nič konkretnega povedati. Mene predvsem zanima, kdaj bodo rodili 7nm proces, da pol leta prej kupim maso delnic.

Trgujem pa sicer na DEGIRO platformi, na kateri pa Slovenci ne morejo trgovati po novem. Ker imam firmo v Belgiji, lahko to delam preko belgijske davčne. Sicer je davek na dobiček 30%, samo jebiga, kaj naj zdaj.

Drugače pa donos, ta trenutek je 1,3208 t.j. 32,08%. Zato imam jaz rad vse vas in Trumpa in Bidna in kdorkoli pač tam je. Pa naj ne pozabijo šopati dolarje.

Zgodovina sprememb…

WizzardOfOZ ::

bbbbbb2015 je izjavil:

Pa še nekaj:
Intel preprosto ne bi zmogel 10nm postopka prignati do 5.2GHz, na koliko tečejo (trenutni) z15 mainframe procesorji:
https://en.wikichip.org/wiki/ibm/microa...

Samsung je obljubil nekaj, da so se pri IBMu odločili da bo (za zdaj) Power CPU narejen pri Samsungu:
https://www.nextplatform.com/2018/12/20...


Tudi tukaj niso prav veliko napredovali. Mainframe procesorji z13 so že delovali na 5GHz, pa so bili 22nm.
Launched on January 13, 2015, the z13 is based on the z13 chip, a 5 GHz octa-core processor. A z13 system can have a maximum of 168 Processing Unit (PU) cores, 141 of which can be configured to the customer's specification to run applications and operating systems, and up to 10144 GiB (usable) of redundant array of independent memory (RAIM). Each PU can be characterized as a Central Processor (CP), Integrated Firmware Processor (IFP), Integrated Facility for Linux (IFL) processor, z Integrated Information Processor (zIIP), Internal Coupling Facility (ICF) processor, additional System Assist Processor (SAP) or as a spare. The z Application Assist Processor (zAAP) feature of previous zArchitecture processors is now an integrated part of the z13's zIIP.


Pa še kazalo, kaj kaka kratica pomeni:

CP: Central processor; The standard processors. For use with any supported operating system and user applications.
IFL: Integrated Facility for Linux; Exploited by Linux and for z/VM processing in support of Linux. It is not possible to IPL operating systems other than z/VM or Linux on an IFL.
zAAP: Application Assist Processor; Exploited under z/OS for designated workloads, which include the IBM JVM and XML System Services functions.
zIIP: Integrated Information Processor; Exploited under z/OS for designated workloads, which include various XML System Services, IPSec offload, certain parts of IBM DB2 DRDA, star schema, IBM HiperSockets for large messages, and the IBM GBS Scalable Architecture for Financial Reporting.
ICF: Internal Coupling Facility; Used for z/OS clustering, running exclusively the Coupling Facility Control Code (CFCC).
SAP: System Assist Processor; Offloads and manages I/O operations.
IFP: Integrated Firmware Processor; reserved for managing new generation of PCIe adapters in zEC12 and zBC12.
Spares: exclusively reserved to provide failover in the event of a processor (CP, IFL, zAAP, zIIP, ICF, SAP or IFP) failure.
Milčinski je napisal butalce kot prispodobo in ne kot priročnik!!!
Svuda u svijetu ima budala ali je izgleda kod nas centrala!!!

Zgodovina sprememb…

war-dog ::

Let's go all in into ARM.
Object reference not set to an instance of an object.

bbbbbb2015 ::

war-dog je izjavil:

Let's go all in into ARM.


Če že gremo kam, gremo na RISC-V:
https://www.extremetech.com/computing/3...
https://www.theregister.com/2021/06/08/...
https://www.extremetech.com/computing/3...

ARM je v redu, samo se vsi bojijo, da bo zopet kak Trump kaj podpisal in jih spravil v temo. RISC-V je open source ISA in v osnovi ne kaj dosti slabši od ARM. Nima toliko kilometrine, seveda.

Seveda pa ne šteje ISA (Instruction set) ampak *implementacija*.

bbbbbb2015 ::

WizzardOfOZ je izjavil:


Tudi tukaj niso prav veliko napredovali. Mainframe procesorji z13 so že delovali na 5GHz, pa so bili 22nm.


z procesorji so po definiciji najhitrejši. Tu se ne špara. Težava 5.2GHz je, da se zelo greje, kar oni rešujejo - s hlajenjem, po potrebi z vodnim hlajenjem. Potem visoki tokovi niso problem. Problem so visoke temperature, t.i. hot-spoti, kjer gre temperatura čez 140 stopinj C. Tam se pojavi elektro-migracija, polprevodniki postanejo siromašni z atomi, tranzistorji delajo slabše, na koncu nehajo delati.

Intelova 10nm ne pride do 5.2GHz, tudi če jo nesejo do tja.

Vendar pa Intel ima nekaj, kar drugi (ta hip) nimajo. 10nm in 14nm proces, s katerim naredijo CPUje in jih prodajo za denar. ŠE VEDNO prodajo prav vse, kar naredijo. Tudi 14nm. In ima kup strank (sistemskih intergratorjev).

AMD je že malo ustavil konje okrog 5nm. Saj 5nm je v redu, samo koliko kosov in kdaj.

Invictus ::

Vic je tudi v tem, da so današnji procesorji dovolj dobri za večino. Tudi za firme. Če rabijo več, dodajo serverje...

Zato se tudi ne vlaga več toliko v razvoj novih litografij, ker se ne splača.

ARM je zdaj precej pod razmnimi napadi, kar se tiče prevzemov, zato za nekega novega playerja, brez zgodovine, ni nujno najboljša platforma. Sploh če zadevo prevzamejo Kitajci...

Ergo RISC-V, zaenkrat še precej neokužen s kapitalisti :D.

Seveda to ni vse, Kitajci nekako še ohranjajo MIPS arhitekturo, Japonci pa UltraSparc, IBM svoj Power. Ki nekako ne zaživi, kljub temu, da ima IBM praktično vsak svoj software preveden zanj...
"Life is hard; it's even harder when you're stupid."

http://goo.gl/2YuS2x


Vredno ogleda ...

TemaSporočilaOglediZadnje sporočilo
TemaSporočilaOglediZadnje sporočilo
»

IBM predstavil 2-nanometrski čip

Oddelek: Novice / Procesorji
86367 (5432) BT52
»

Intelovi procesorji Rocket Lake res v 14 nanometrih (strani: 1 2 )

Oddelek: Novice / Procesorji
6021534 (18946) Zimonem
»

Intel lansiral prenosniške procesorje Tiger Lake in spremenil logotip

Oddelek: Novice / Procesorji
178981 (6084) mtosev
»

TSMC že sredi množične 5 nm proizvodnje

Oddelek: Novice / Procesorji
157707 (5583) FireSnake
»

Kitajski SMIC pognal komercialno proizvodnjo čipov FinFET

Oddelek: Novice / Ostale najave
134528 (3277) Mr.B

Več podobnih tem