» »

Za zdaj konec Intelovega ritma tik-tak

1
2
»

nekikr ::

Jp, ker prehod sploh ni težaven. Oni sicer že točno vedo kako iti na 0,5nm in pri tem dosegati frekvenco 28GHz s porabo 0,2W, ampak ker ima AMD bedne procesorje jim ni treba tega dati na trg.

technolog ::

7982884e je izjavil:

15W i7 so dvojedrni. imas tudi 45W quad core, ki so vec ali manj samo downclockani napram desktop quad core i7-kam. na 15W pa pac ne mores imet performanse na enaki ravno kot jo ima navit desktop proc z desetkrat visjo porabo; ne vem v bistvu kaj hoces povedat.


Se strinjaš, da če bi intel izdeloval 8 jedrnike, potem bi lahko noter stlačil 4x tegale dvojedrnika:

https://www.cpubenchmark.net/cpu.php?cp...

Se pravi 4x 4.5W (približno 15W), passmark takega procesorja pa je potem 4 x 3025 = 12100, kar je dosti več kot tale i7ka:

https://www.cpubenchmark.net/cpu.php?cp...

Zgodovina sprememb…

user-pass ::

Ok, na primer da imajo inzenirji pripravljen Skylake oz Cannon Lake ali whatever na 10nm, financni direktor pa pravi fantje, ustavte konje, prodaja je taka in taka, trzni delez na desktopih se povecuje, na mobilnih zacuda tudi, trenutno ni razloga, da vlozimo toliko in toliko miljard v proizvodno linijo, samo zato, da bomo povecali prodajo za 5%. Kaj bi potem narocili PR firmi? Da pove ljudem, da imajo tehnologijo, samo je ne dajo ven, ker se vedno vlecejo lepe dobicke s sedanjo - ali pa bojo narocili PR-u, da natvezi nekaj o tezavah pri razvoju ipd? Jaz osebno mislim, da to drugo, vi pa kakor hocete.

Truga ::

Jaz mislim da isces teorije ki jih ni. Intel ima zelo zajebano konkurenco.

Klice se "lanski intelovi procesorji". Takoj ko nehajo dajat ven boljso robo, takoj bo folk nehal kupvat nove masine, in kupoval samo se ko se neki pokvari.

Intel si to verjetno lahko privosci, splaca pa se mu 100% ne.

SuperVeloce ::

user-pass je izjavil:

Ok, na primer da imajo inzenirji pripravljen Skylake oz Cannon Lake ali whatever na 10nm, financni direktor pa pravi fantje, ustavte konje, prodaja je taka in taka, trzni delez na desktopih se povecuje, na mobilnih zacuda tudi, trenutno ni razloga, da vlozimo toliko in toliko miljard v proizvodno linijo, samo zato, da bomo povecali prodajo za 5%. Kaj bi potem narocili PR firmi? Da pove ljudem, da imajo tehnologijo, samo je ne dajo ven, ker se vedno vlecejo lepe dobicke s sedanjo - ali pa bojo narocili PR-u, da natvezi nekaj o tezavah pri razvoju ipd? Jaz osebno mislim, da to drugo, vi pa kakor hocete.

Ti tako misliš, ker očitno pozabljaš, kakšne težave imajo praktično vsi že en čas, ne samo intel. Tega ne moreš umetno ustvarjati, vsekakor pa je bilo jasno da intel rahlo tvega z 10nm procesom s trenutno tehnologijo. Še 14nm procesa jim ni ratalo pognati tako, kot potrebujejo. Eno je, ko rečeš da boš prodajal stare čipe. Povsem drugo pa, ko imaš naročila za nove, pa jih ne uspeš dobaviti v načrtovanih količinah. To se sedaj dogaja Intelu.
ryzen 5900x, MSI 5700xt Gaming X, 2x16GB 3600CL16, 850evo+860qvo, Fractal Mini C

Zgodovina sprememb…

user-pass ::

Ne recem, da je prehod enostaven ali poceni, je pa v zakulisju 100% kaj, cesar ne bojo povedali direkt na tiskovnih. Po moje imajo pa dolgorocno malo tezave z roadmapom, 10nm bojo ze se spravli, za naprej pa jim malo zmanjkuje. In malo zavlacujejo, ker dohodke je treba tudi treba nacrtovati in razporediti, da ne bo kaksnih susnih let kje, npr 2017. Zato jim tudi trenutni zaostanek AMD-ja (ki je de-facto edina resna konkurenca, Qualcomm je cisto nekaj drugega) zelo prav pride.

7982884e ::

10 nm bi jim zelo prav prišel pri mobile čipih, kjer so performančno blizu najboljšim snapdragonom in exynosom, so pa še vedno prepožrešni.

tikitoki ::

...

Zgodovina sprememb…

  • spremenilo: tikitoki ()

tikitoki ::

Wrop je izjavil:

tikitoki je izjavil:

BTW npw 10 nm je velikost tranzistorja, torej je velikost posameznih delov še mnogo manjša. Prepričan sem, da je čisto vseeno ali bo imel INTEL konkurenco ali ne, prej ali slej bo naletel na fizikalne zakonitosti, ki jih ne bo mogoče zaobiti, mogoče pa kdo misli, da se da delati s polovicami atomov:)?. Mogoče pa pride v prakso kakšna radikalno drugačna tehnologija.


Opa, 10 nm je velikost najmanjšega dela (če se prav spomnim je to Gate) v tranzistorju. Sam tranzistor je potem posledično večji.


Moja napaka, slabo prebral. Še vedno pa so nekateri deli tranzistorja veliko manjši.

In the case of the 22nm chips the high-κ dielectric layer is just 0.5nm thick; just two or three atoms!

Torej moja postavka še vedno drži, velikosti posameznih delov ne moreš poriniti pod mejo posameznih atomov, ki jih sestavljajo.

MrStein ::

tikitoki je izjavil:

...


Če si hotel brisati:

MrStein je izjavil:



JAVNA OBJAVA:

Sporočilo se briše (v celoti, da ni več vidno) s tem preprostim postopkom:
- klikneš "popravi"
- klikneš "polni popravi"
- izbrišeš vso besedilo (hekerji: ctrl-a , DEL, ostali: držiš tipko DEL ali backspace, dokler ni vse izbrisano, umetniki: z miško označiš vso besedilo in izbereš "Cut/Izreži")
- klikneš "pošlji"

(pišem spet, ker očitno folk še vedno ne pozna te preproste operacije)
Motiti se je človeško.
Motiti se pogosto je neumno.
Vztrajati pri zmoti je... oh, pozdravljen!

Glugy ::

MrStein je izjavil:

tikitoki je izjavil:

...


Če si hotel brisati:

MrStein je izjavil:



JAVNA OBJAVA:

Sporočilo se briše (v celoti, da ni več vidno) s tem preprostim postopkom:
- klikneš "popravi"
- klikneš "polni popravi"
- izbrišeš vso besedilo (hekerji: ctrl-a , DEL, ostali: držiš tipko DEL ali backspace, dokler ni vse izbrisano, umetniki: z miško označiš vso besedilo in izbereš "Cut/Izreži")
- klikneš "pošlji"

(pišem spet, ker očitno folk še vedno ne pozna te preproste operacije)


Lepo ..jz sm že ene parkrat hotu zbrisat čist do konca pa nism vedu kak pol sm pa pač čist spremenu stavk ker mi ni bllo na misel uporabit "polno popravi". Sam je pa res da lahko popravš samo določoen čas..po tem pa ti ne pusti več.
1
2
»


Vredno ogleda ...

TemaSporočilaOglediZadnje sporočilo
TemaSporočilaOglediZadnje sporočilo
»

Intelovi 10-nm procesorji se odmikajo (strani: 1 2 )

Oddelek: Novice / Procesorji
8925692 (20945) PrimozR
»

Intel bo še četrtič ostal pri 14 nm (strani: 1 2 3 )

Oddelek: Novice / Procesorji
12229560 (23738) PrimozR
»

Intelov tik tak je mrtev (strani: 1 2 )

Oddelek: Novice / Procesorji
7123406 (19228) Bistri007
»

Intelova prva procesorja iz družine Skylake (strani: 1 2 3 )

Oddelek: Novice / Procesorji
14956552 (22852) m0LN4r
»

Prihaja 14 nm

Oddelek: Novice / Procesorji
3915505 (12761) hojnikb

Več podobnih tem