» »

Procesorje Lunar Lake bo v celoti izdeloval TSMC

Procesorje Lunar Lake bo v celoti izdeloval TSMC

vir: Intel

vir: Intel
Intel - Pri Intelu so na Computexu ponudili prvi globlji vpogled v prihajajočo generacijo procesorjev za prenosnike, Lunar Lake, ki se v določenih elementih vrača v bolj ustaljene tirnice od Meteor Laka, spet drugod pa gre povsem po svoje.

Podobno kot pri AMDju in Nvidii, so se tudi pri Intelu na svoji Computexovi predstavitvi močno osredotočali na umetno inteligenco, oziroma prepričevanje, da jo njihova strojna oprema poganja najhitreje (kratek video povzetek). Toda za tehnološke navdušence je bil vendarle najbolj zanimiv prvi resen vpogled v arhitekturo Lunar Lake, naslednico aktualnega Meteor Laka, se pravi čipov za prenosne računalnike, ki naj bi bili nared jeseni, oziroma širše na voljo v predprazničnem času. Meteor Lake je za Intel in širše v mnogočem prelomna tehnologija in Lunar Lake je zanimiv pokazatelj, kje so se inženirji odločili napraviti korak nazaj, kje pa oditi še dlje, saj se pristopa v nekaterih elementih bistveno razlikujeta. Pod črto pa je bržkone najbolj udaren detajl tisti, da bo te procesorje v celoti izdeloval tajvanski TSMC, medtem ko so pri Meteor Laku čiplet z računskimi jedri še izdelovali znotraj hiše.



To namreč za seboj potegne vrsto drugih značilnosti čipov Lunar Lake. Medtem ko so meteor laki zgrajeni iz štirih čipletov, imajo njihovi nasledniki zgolj dva: računskega, v katerem tiktakajo vsa procesorska jedra, GPU, NPU ter dekodirniki videa, in pa novi "platform controller tile", z vhodno-izhodnimi funkcijami. Prvi je napravljen v procesu N3B, drugi v N6, vnovič ju povezuje napreden način spajanja v dveh nadstropjih, Foveros. Tako se inženirji vračajo k bolj klasični zasnovi čipa, pri čemer odpade tudi samosvoja tretja stopnja računskih jeder v meteor lakih, LPE. Po drugi plati je takoj opazen delovni pomnilnik, pričvrščen na samo pakiranje, s čimer gre Intel po Applovi poti, kar je bilo mogoče pričakovati. Rama bo pri prvi generaciji lunar lakov lahko do 32 GB LPDDR5X, zaenkrat pa ni videti, da bi ga bilo mogoče povečevati z dodatnimi paličicami, zato bomo uporabniki obsojeni na kapaciteto, ki bo prišla s procesorjem.

Še en povsem pričakovan element je močno zrejena enota NPU, ki naj bi tokrat po Intelovi dikciji zmogla 48 TOPS (INT8). To se pravi, malenkost več od Qualcommovih snapdragonov X Elite/Plus, pa malenkost manj od AMDjevih prihajajočih Ryzenov AI, predvsem pa zadosti za Microsoftov standard Copilot+ PC. Bolj zanimivo za klasične uporabnike pa je prenovljeno izrisovalno vezje, kajti gre za prvo različico arhitekture Battlemage, torej druge generacije tehnologije Arc Xe, ki jo v Intelu sedaj naravnost imenujejo Xe2. Izvedba v lunar lakih naj bi bila kar okoli 50 odstotkov hitrejša od tiste v predhodnikih, kar naj bi bil dejansko prispevek prav toliko hitrejših izrisovalnih enot, zato bo zanimivo videti, ali se bo doprinos prevedel tudi v primerljivo zmogljive diskretne kartice Arc, ki jih bomo slej ko prej videli na tej osnovi.

Odsotnost jeder LPE gre predvsem na račun dejstva, da so nova E-jedra Skymont že sama po sebi močno osrediščena okoli želje po čimvečji varčnosti, medtem ko naj bi bila po zmogljivostih primerljiva z aktualnimi E-jedri Crestmont. Nova P-jedra, Lion Cove, naj bi po drugi plati predhodnike prehitevala za okoli 14 odstotkov, toda obenem ne podpirajo več hyperthreadinga. V Intelu tako še vedno iščejo ravnotežje med rastjo splošne zmogljivosti in pa varčnostjo ter osredotočenostjo na specifične naloge, kot je strojno učenje, kar je tudi posledica vstopa Qualcomma na področje zmogljivih prenosnikov. Na papirju pa zaenkrat ne vzbuja preveč optimizma podatek, da bodo šle konfiguracije lunar lakov zgolj do 4P+4E, medtem ko gre aktualna generacija do 6P+8E+2LPE. Ni presenetljivo, da na tokratni predstavitvi ni bilo na voljo neposrednih primerjav med čipi in zanimivo bo videti, kako nameravajo v Intelu naprave pozicionirati na trgu. Na zmogljivo različico novih arhitektur bo treba čakati do Arrow Laka, o katerem več izvemo jeseni.

42 komentarjev

LightBit ::

Pod črto pa je bržkone najbolj udaren detajl tisti, da bo te procesorje v celoti izdeloval tajvanski TSMC

ZDA in EU pa dajeta denar Intelu da gradi "tovarne" lokalno.

kow ::

Z nekaj truda lahko preveris, da jih resnicno gradijo. Recimo v Arizoni bodo novo tovarno zagnali do konca leta.
V Nemciji naj bi jo zagnali leta 2027.

Zgodovina sprememb…

  • spremenil: kow ()

LightBit ::

Verjamem, ampak vprašanje je kaj bodo tam delali.

kow ::

Kaj drugega kot procesorje oz. dele procesorjev...

˙janezvalva ::

tsmc raztura, očitno imajo v žepu sporazum z ASML.

Mr.B ::

kow je izjavil:

Kaj drugega kot procesorje oz. dele procesorjev...

Praktično ves Intel Arc.
France Rejects Genocide Accusations Against Israel in Gaza,
To accuse the Jewish state of genocide is to cross a moral threshold

FireSnake ::

˙janezvalva je izjavil:

tsmc raztura, očitno imajo v žepu sporazum z ASML.


????
Povej kaj več o tem sporazumu.
Poglej in se nasmej: vicmaher.si

filip007 ::

Naj naredijo poceni grafične za 250 čukov, samo bodo raje dvojno računali, če bodo res dobre.
Palačinka z Ajvarjem in stopljenim sirom v mikrovalovki.

WhiteAngel ::

Tole s TSMCjem tudi mene preseneča. Očitno se Američani ne bojijo trenj med Kitajsko in Tajvanom. Kdo bi vedel.

kow ::

Ne. Intel nima razpolozljivih kapacitet.

Zanimivo je, da Intel investira tako veliko, da je v principu "vzel hipoteko" na svoje tovarne:

https://www.intel.com/content/www/us/en...
https://www.reuters.com/technology/inte...

Zgodovina sprememb…

  • spremenil: kow ()

kow ::

V principu ima Intel high tech tovarno samo na Irskem. V Arizoni (Chandler) pa se niso zagnali proizvodnje, jo bodo pa kmalu.

˙janezvalva ::

FireSnake je izjavil:

˙janezvalva je izjavil:

tsmc raztura, očitno imajo v žepu sporazum z ASML.


????
Povej kaj več o tem sporazumu.

stroje jim dobavlja nizozemski ASML

kow ::

Kaj mislis, kdo pa stroje dobavlja Intel-u?

˙janezvalva ::

ASML

DamijanD ::

kow je izjavil:

V principu ima Intel high tech tovarno samo na Irskem. V Arizoni (Chandler) pa se niso zagnali proizvodnje, jo bodo pa kmalu.

A nima tudi v Izraelu en fab?

kow ::

Imajo. Vendar imajo najnovejso tehnologijo na Irskem, se boljso pa bodo kmalu imeli v Chandlerju. Vsaka linija relativno hitro "zastari", v smislu da na njej ne mores izdelovati najnovejso tehnologijo. Na Irskem ze proizvajajo t.i. Intel 4, pravkar pa finishirajo Intel 3 - izdelovali bodo najnovejse Xeon 6 procesorje. Izraelske tovarne ocitno ne morejo konkurirati novejsim TSCM tovarnam. Intel enostavno nima dovolj kapacitet (pod 10nm), ker so prejsnji CEO-ti premalo investirali.

Ampak sedaj Intel investira kot nor. Tako v ZDA, Izraelu, na Irskem, pa tudi v Nemciji. Intel gre all in, ker niti nima druge izbire.

Zgodovina sprememb…

  • spremenil: kow ()

Jazon ::

Intel je znan po zgodnjih objavah njihovih tehnoloških procesov, da izgleda v koraku s konkurenco. Res je, da trenutno obsežno vlagajo v nove proizvodne kapacitete. Pat Gelsinger trdi, da jim je pod streho v zadnjih štirih letih uspelo spraviti pet proizvodnih procesov (Intel 7, Intel 4, Intel 3, Intel 20A in Intel 18A). Tri od teh še nismo videli. Intel 14A proces napovedujejo za 2027.

Še malo neposredne primerjave
Samsung in TSMC sta 7nm čipe pričela proizvajati v 2019. 6nm pa v 2020.
Intel je s proizvodnjo Intel 7 (10nm) čipov pričel v letu 2021, ko je s proizvodnjo svojih 7nm čipov pričel tudi kitajski SMiC. Torej gre za do dve leti razlike do Samsung in TSMC.

Samsung in TSMC sta 5nm čipe pričela proizvajati v 2020. 4nm pa v 2021.
Intel je s proizvodnjo Intel 4 (7nm) čipov pričel v letu 2023 v Oregon D1.

Samsung in TSMC sta 3nm čipe pričela proizvajati v 2022.
Intel naj bi s proizvodnjo Intel 3 (xnm) čipov pričel v H2 2024.

Kot zanimivost. Globalfoundries je leta 2018 obupal na 7nm proizvodnjo zaradi previsokih stroškov. Letos so napovedali, da bodo gradili novo tovarno v Ameriki (Malta, NY). Več ni znanega, torej bodo očitno delali za vojsko.

Zgodovina sprememb…

  • spremenilo: Jazon ()

FireSnake ::

˙janezvalva je izjavil:

FireSnake je izjavil:

˙janezvalva je izjavil:

tsmc raztura, očitno imajo v žepu sporazum z ASML.


????
Povej kaj več o tem sporazumu.

stroje jim dobavlja nizozemski ASML


To je jasno. Kje je tu presenečenje?
ASML prodaja stroje po celem svetu.

Jazon je izjavil:

Intel je znan po zgodnjih objavah njihovih tehnoloških procesov, da izgleda v koraku s konkurenco. Res je, da trenutno obsežno vlagajo v nove proizvodne kapacitete. Pat Gelsinger trdi, da jim je pod streho v zadnjih štirih letih uspelo spraviti pet proizvodnih procesov (Intel 7, Intel 4, Intel 3, Intel 20A in Intel 18A). Tri od teh še nismo videli. Intel 14A proces napovedujejo za 2027.

Še malo neposredne primerjave
Samsung in TSMC sta 7nm čipe pričela proizvajati v 2019. 6nm pa v 2020.
Intel je s proizvodnjo Intel 7 (10nm) čipov pričel v letu 2021, ko je s proizvodnjo svojih 7nm čipov pričel tudi kitajski SMiC. Torej gre za do dve leti razlike do Samsung in TSMC.

Samsung in TSMC sta 5nm čipe pričela proizvajati v 2020. 4nm pa v 2021.
Intel je s proizvodnjo Intel 4 (7nm) čipov pričel v letu 2023 v Oregon D1.

Samsung in TSMC sta 3nm čipe pričela proizvajati v 2022.
Intel naj bi s proizvodnjo Intel 3 (xnm) čipov pričel v H2 2024.

Kot zanimivost. Globalfoundries je leta 2018 obupal na 7nm proizvodnjo zaradi previsokih stroškov. Letos so napovedali, da bodo gradili novo tovarno v Ameriki (Malta, NY). Več ni znanega, torej bodo očitno delali za vojsko.


Vse lepo in prav. A tvoja primerjava pomeni točno: nič!
Zakaj?
Ker nm med različnimi proizvajalci sploh ne gre enačiti.
Še več: vsi sploh ne govorijo o isti stvari:
- nekateri premer transistorja
- nekateri o dolžini povezav
Poglej in se nasmej: vicmaher.si

Zgodovina sprememb…

DamijanD ::

A imamo potem nekje "realno" tabelo s primerjavami?

FireSnake ::

Ne, ker ni tako preprosto.
Poglej in se nasmej: vicmaher.si

Jarno ::

Če računico malce prilagodimo, potem vse skupaj postane smiselno. Ključ je načeloma gostota tranzistorjev na ploskovno enoto.
#65W!

Zgodovina sprememb…

  • spremenil: Jarno ()

Jazon ::

FireSnake je izjavil:



Vse lepo in prav. A tvoja primerjava pomeni točno: nič!
Zakaj?
Ker nm med različnimi proizvajalci sploh ne gre enačiti.
Še več: vsi sploh ne govorijo o isti stvari:
- nekateri premer transistorja
- nekateri o dolžini povezav


Seveda se da, in to so celo sami objavili:
On July 26, 2021, Intel announced their new manufacturing roadmap, renaming all of their future process nodes. Intel's "10 nm" Enhanced SuperFin (10ESF), which was roughly equivalent to TSMC's N7 process, would thenceforth be known as "Intel 7", while their earlier "7 nm" process would erstwhile be called "Intel 4".


Na, še en članek iz 2021: https://www.tomshardware.com/news/intel...

Torej, Intel je svoje proizvodne procese leta 2021 preimenoval, da je "on par" s konkurenco.
Njihov 10nm Enhanced Super Fin proces so poimenovali Intel 7, ker menijo, da je njihovih 10nm eSF primerljivih s 7nm konkurence.
Njihov 7nm proces so preimenovali Intel 4, ker menijo, da je njihovih 7nm primerljivih s 4nm konkurence.
Za Intel 3 ni veliko podatkov zunaj, razen poimenovanja in povzetka. Ko bodo v H22024 izdali desktop procesor Arrow Lake bomo videli iz katerega procesa je (Intel 4, Intel 3, 20A, 18A).
Že zdaj je jasno da bo pretežni del procesorja izdelal TSMC, manjši del pa Intel.

Mr.B ::

kow je izjavil:

Ne. Intel nima razpolozljivih kapacitet.

Zanimivo je, da Intel investira tako veliko, da je v principu "vzel hipoteko" na svoje tovarne:

https://www.intel.com/content/www/us/en...
https://www.reuters.com/technology/inte...

V bistvu imaš prav. Desetletja, ko se je Intel ukvarja z buy back delnic so ostali šli dalje. Letos je šele kupil ASML mašino (s subvencijami da bo bil konkurenčen), tako da še resnično nima kapacitet narediti karkoli na nivoju litografije TSMC-ja.

kow je izjavil:

Imajo. Vendar imajo najnovejso tehnologijo na Irskem, se boljso pa bodo kmalu imeli v Chandlerju. Vsaka linija relativno hitro "zastari", v smislu da na njej ne mores izdelovati najnovejso tehnologijo. Na Irskem ze proizvajajo t.i. Intel 4, pravkar pa finishirajo Intel 3 - izdelovali bodo najnovejse Xeon 6 procesorje. Izraelske tovarne ocitno ne morejo konkurirati novejsim TSCM tovarnam. Intel enostavno nima dovolj kapacitet (pod 10nm), ker so prejsnji CEO-ti premalo investirali.

Ampak sedaj Intel investira kot nor. Tako v ZDA, Izraelu, na Irskem, pa tudi v Nemciji. Intel gre all in, ker niti nima druge izbire.

NE, edina fabrika, kjer Intel v prihodnosti lahko naredi karkoli konkurenčnega TSMC ali Samsungu je v Oregonu.
France Rejects Genocide Accusations Against Israel in Gaza,
To accuse the Jewish state of genocide is to cross a moral threshold

Zgodovina sprememb…

  • spremenil: Mr.B ()

FireSnake ::

Jazon je izjavil:

FireSnake je izjavil:



Vse lepo in prav. A tvoja primerjava pomeni točno: nič!
Zakaj?
Ker nm med različnimi proizvajalci sploh ne gre enačiti.
Še več: vsi sploh ne govorijo o isti stvari:
- nekateri premer transistorja
- nekateri o dolžini povezav


Seveda se da, in to so celo sami objavili:
On July 26, 2021, Intel announced their new manufacturing roadmap, renaming all of their future process nodes. Intel's "10 nm" Enhanced SuperFin (10ESF), which was roughly equivalent to TSMC's N7 process, would thenceforth be known as "Intel 7", while their earlier "7 nm" process would erstwhile be called "Intel 4".


Na, še en članek iz 2021: https://www.tomshardware.com/news/intel...

Torej, Intel je svoje proizvodne procese leta 2021 preimenoval, da je "on par" s konkurenco.
Njihov 10nm Enhanced Super Fin proces so poimenovali Intel 7, ker menijo, da je njihovih 10nm eSF primerljivih s 7nm konkurence.
Njihov 7nm proces so preimenovali Intel 4, ker menijo, da je njihovih 7nm primerljivih s 4nm konkurence.
Za Intel 3 ni veliko podatkov zunaj, razen poimenovanja in povzetka. Ko bodo v H22024 izdali desktop procesor Arrow Lake bomo videli iz katerega procesa je (Intel 4, Intel 3, 20A, 18A).
Že zdaj je jasno da bo pretežni del procesorja izdelal TSMC, manjši del pa Intel.



Ključna beseda: roughly.
Ki potrjuje, kar sem napisal.
Poglej in se nasmej: vicmaher.si

Jazon ::

@Firesnake
Heh, šlepaš se na pridevnik k "EQUIVALENT".
Lahko se strinjava, da je Intel izvedel še marketinški trik.

TSMC ima v 7nm tri glavne procese (N7 (N7P), N7+ in N6), ki so izpeljani z optimizacijo proizvodnega procesa. Naslednjo optimizacijo N5, so uvrstili v 5nm, na podlagi svoje metrike gostote tranzistorjev. Ta metrika se sicer razlikuje od metrika prehoda iz 10nm na 7nm.

Če bi Intel svoj proces leta 2021 preimenoval v Intel 1 in začel šteti gor, bi tvoja trditev mogoče pila vodo.
Ker pa je v istem stavku omenjena, veza TSMC 7nm proces in preimenovanje Intel 7, pa velja kar sem napisal.

Tabelce na Wikipediji pravijo tako:
-Intel 7 je na strani 7nm, poleg TSMC-ja N7, N7+ in N6
- Intel 4 je na strani 4nm,...
- Intel 3

Če se upošteva merila ASML-ja je Intel 4 dejansko 7nm do 6nm proces in je preimenovanje zgolj marketinški trik.
Jasno je, da nm cifre napihujeta tudi TSMC in Samsung, še posebej sta jih ob prehodu iz 10nm na 7nm, ampak pri Intel so glede na izračunano gostoto tranzistorjev v milijonih na mm2, zadnje čase najboljši mojstri za friziranje.

fikus_ ::

kow je izjavil:

Imajo. Vendar imajo najnovejso tehnologijo na Irskem, se boljso pa bodo kmalu imeli v Chandlerju. Vsaka linija relativno hitro "zastari", v smislu da na njej ne mores izdelovati najnovejso tehnologijo. Na Irskem ze proizvajajo t.i. Intel 4, pravkar pa finishirajo Intel 3 - izdelovali bodo najnovejse Xeon 6 procesorje. Izraelske tovarne ocitno ne morejo konkurirati novejsim TSCM tovarnam. Intel enostavno nima dovolj kapacitet (pod 10nm), ker so prejsnji CEO-ti premalo investirali.

Ampak sedaj Intel investira kot nor. Tako v ZDA, Izraelu, na Irskem, pa tudi v Nemciji. Intel gre all in, ker niti nima druge izbire.


Intel je pobasal tudi ogromne subvencije, da gradi tovarne v Usa in EU.
Učite se iz preteklosti, živite v sedanjosti in razmišljajte o prihodnosti.

kow ::

Mr.B je izjavil:

NE, edina fabrika, kjer Intel v prihodnosti lahko naredi karkoli konkurenčnega TSMC ali Samsungu je v Oregonu.


Kolikor razumem je v Oregonu razvojna fabrika. Ni namenjena, da izdela ogromne kolicine cipovja.

Jazon je izjavil:

@Firesnake
Heh, šlepaš se na pridevnik k "EQUIVALENT".
Lahko se strinjava, da je Intel izvedel še marketinški trik.

TSMC ima v 7nm tri glavne procese (N7 (N7P), N7+ in N6), ki so izpeljani z optimizacijo proizvodnega procesa. Naslednjo optimizacijo N5, so uvrstili v 5nm, na podlagi svoje metrike gostote tranzistorjev. Ta metrika se sicer razlikuje od metrika prehoda iz 10nm na 7nm.

Če bi Intel svoj proces leta 2021 preimenoval v Intel 1 in začel šteti gor, bi tvoja trditev mogoče pila vodo.
Ker pa je v istem stavku omenjena, veza TSMC 7nm proces in preimenovanje Intel 7, pa velja kar sem napisal.

Tabelce na Wikipediji pravijo tako:
-Intel 7 je na strani 7nm, poleg TSMC-ja N7, N7+ in N6
- Intel 4 je na strani 4nm,...
- Intel 3

Če se upošteva merila ASML-ja je Intel 4 dejansko 7nm do 6nm proces in je preimenovanje zgolj marketinški trik.
Jasno je, da nm cifre napihujeta tudi TSMC in Samsung, še posebej sta jih ob prehodu iz 10nm na 7nm, ampak pri Intel so glede na izračunano gostoto tranzistorjev v milijonih na mm2, zadnje čase najboljši mojstri za friziranje.


Trdis, da se gre Intel marketinske trike, hkrati pa v prvem stavku napises, da enako pocne TSMC.

Zgodovina sprememb…

  • spremenil: kow ()

Jazon ::

Ja kow, ob prehodu iz 10nm na 7nm so jih zagotovo, ker so imeli gostoto tranzistorjev v milijonih na mm2 na 7nm sprva manjšo kot intel na 10nm.
Kar pa so dejansko (taktično) na dolgi rok dosegli je menjava uveljavljene intel "full node" paradigme, ki jo je nekje do 14nm uspešno fural intel, ostali pa so temu sledili (s half node).
Intel je okoli 10nm obtičal in štafeto je prevzel TSMC, ki je svoje uveljavil tako dobro, da je bil Intel primoran približno prilagoditi poimenovanje.
Zdaj je uveljavljen postopek TSMC-ja (in Samsunga), t.i. "nodelet", kjer s serijo manjših izboljšav prideš do napredka. TSMC ima na 7nm tri glavne procese in še enega dodatnega. Gostoto so iz prvotnih 91 zvišali na 114,2 M Tr/mm2. Nato je očitno sledil preboj in s procesom N5 so skočili na 138.2 mio in z N4 na 143.7 mio (izboljšava N4C pride prihodnje leto s še večjo gostoto). Trenutno je TSMC z N3X procesom na 224.2 milijonov tranzistorjev na mm2.
Kje je Intel? Intel 4 = 123.8 M TR/mm2.

Res je, da se krešejo mnenja, kaj je res in kaj ne. Cel kup člankov je, kjer razni strokovnjaki dokazujejo, da TSMC nima take gostote tranzistorjev (ampak 3% manjšo) in da sproti prilagajajo metriko. Intel bi rad svoj primat nazaj.

kow ::

Komentiral sem v smislu, da si s tem potrdil FireSnakeu. Je pa verjetno res, da ce vzamemo najbolj enostavno metriko (kot priblizek naprednosti tehnologije), je to gostota tranzistorjev na ploskovno enoto. Boljse nimamo, kolikor vem.

Jazon ::

Potrdil kaj že?

Dejstvo je, da je TSMC po zaslugi Appla prvi pričel z EUV litografijo in si nabral precejšnjo prednost pred konkurenti.
ASML se je z uvedbo EUV trudil nekje od 2012 dalje, ampak takrat so vsi prisegali na DUV in kasneje se je najbolj opekel Intel.
Intel je svoje procese preimenoval v 2021, ko je bilo že zdavnaj jasno, da je EUV prihodnost, in to je določil TSMC z ASML EUV mašinami.

Zdaj Intel kot nor kupuje ASML-jeve EUV mašine. Vse letos naj bi pokupil Intel, poleg tega so časovnico prehoda navili na polno.
Aktualna EUV-0.33NA mašina stane 183 mio€. Prihajajoča EUV-0.55NA mašina stane 380 mio€. Intel jo hoče za 14a proces, TSMC jo namerava uporabiti šele pri 10a.

 1

1



 2

2

Mr.B ::

kow je izjavil:

Mr.B je izjavil:

NE, edina fabrika, kjer Intel v prihodnosti lahko naredi karkoli konkurenčnega TSMC ali Samsungu je v Oregonu.


Kolikor razumem je v Oregonu razvojna fabrika. Ni namenjena, da izdela ogromne kolicine cipovja.

Jazon je izjavil:

@Firesnake
Heh, šlepaš se na pridevnik k "EQUIVALENT".
Lahko se strinjava, da je Intel izvedel še marketinški trik.

TSMC ima v 7nm tri glavne procese (N7 (N7P), N7+ in N6), ki so izpeljani z optimizacijo proizvodnega procesa. Naslednjo optimizacijo N5, so uvrstili v 5nm, na podlagi svoje metrike gostote tranzistorjev. Ta metrika se sicer razlikuje od metrika prehoda iz 10nm na 7nm.

Če bi Intel svoj proces leta 2021 preimenoval v Intel 1 in začel šteti gor, bi tvoja trditev mogoče pila vodo.
Ker pa je v istem stavku omenjena, veza TSMC 7nm proces in preimenovanje Intel 7, pa velja kar sem napisal.

Tabelce na Wikipediji pravijo tako:
-Intel 7 je na strani 7nm, poleg TSMC-ja N7, N7+ in N6
- Intel 4 je na strani 4nm,...
- Intel 3

Če se upošteva merila ASML-ja je Intel 4 dejansko 7nm do 6nm proces in je preimenovanje zgolj marketinški trik.
Jasno je, da nm cifre napihujeta tudi TSMC in Samsung, še posebej sta jih ob prehodu iz 10nm na 7nm, ampak pri Intel so glede na izračunano gostoto tranzistorjev v milijonih na mm2, zadnje čase najboljši mojstri za friziranje.


Trdis, da se gre Intel marketinske trike, hkrati pa v prvem stavku napises, da enako pocne TSMC.




Kolikor razumem je v Oregonu razvojna fabrika. Ni namenjena, da izdela ogromne kolicine cipovja.

Si lepo napisal,če si sploh razumel kaj si hotel trollat. Intel N3, N2 ali karkoli bo delan v TSMC ali dokler ne dobijo več strojev, ker trenutna Intel litografija ni konkurenčna ničemer. In u butično proizvodnjo ne morejo konkurirati nobenemu iz Azije. Ok Se posipam kitajcem :))
France Rejects Genocide Accusations Against Israel in Gaza,
To accuse the Jewish state of genocide is to cross a moral threshold

Jazon ::

Ja, trenutno za Intel zadnji tech dela Irska, vse ostale fabrike imajo starejšo tehnologijo.

Intel 4 proces je v FAB34 na Irskem, in trdijo da široka proizvodnja teče od septembra 2023.
Intel 3 proces naj bi v H2 letošnjega leta štartal v FAB42 v Arizoni.
Intel 20A proces pripravljajo v FAB 52 in FAB 62 v Arizoni.
Intel 18A proces naj bi pripravljali v FAB27 v Ohio, l. 2026.
Intel 14A proces naj bi pripravljali v FAB29 v Magdeburgu, l. 2027.

Intel gradi v Malta NY in Oregonu ter v Nemčiji.
Od leta 2013 do 2020 niso postavili ene nove proizvodnje, zdaj pa prihajajoči boom med 2023 in 2027.

GF tudi povečuje v Malta NY.

Se pripravljajo na zasedbo Tajvana?

Zgodovina sprememb…

  • spremenilo: Jazon ()

kow ::

Ce sem prav razumel, naj bi tudi na Irskem letos zagnali Intel 3 proces (vzporedno z Intel 4), za Xeone (Granite Rapids).

FireSnake ::

Jazon je izjavil:

@Firesnake
Heh, šlepaš se na pridevnik k "EQUIVALENT".
Lahko se strinjava, da je Intel izvedel še marketinški trik.

TSMC ima v 7nm tri glavne procese (N7 (N7P), N7+ in N6), ki so izpeljani z optimizacijo proizvodnega procesa. Naslednjo optimizacijo N5, so uvrstili v 5nm, na podlagi svoje metrike gostote tranzistorjev. Ta metrika se sicer razlikuje od metrika prehoda iz 10nm na 7nm.

Če bi Intel svoj proces leta 2021 preimenoval v Intel 1 in začel šteti gor, bi tvoja trditev mogoče pila vodo.
Ker pa je v istem stavku omenjena, veza TSMC 7nm proces in preimenovanje Intel 7, pa velja kar sem napisal.

Tabelce na Wikipediji pravijo tako:
-Intel 7 je na strani 7nm, poleg TSMC-ja N7, N7+ in N6
- Intel 4 je na strani 4nm,...
- Intel 3

Če se upošteva merila ASML-ja je Intel 4 dejansko 7nm do 6nm proces in je preimenovanje zgolj marketinški trik.
Jasno je, da nm cifre napihujeta tudi TSMC in Samsung, še posebej sta jih ob prehodu iz 10nm na 7nm, ampak pri Intel so glede na izračunano gostoto tranzistorjev v milijonih na mm2, zadnje čase najboljši mojstri za friziranje.


Zdaj si pa lepo povzel. Marsikdo se tega ne zaveda in misli, da je vse skupaj isto.
Kar pa je daleč od resnice. Sploh v luči tega, da ni nekega industrijskega standarda in vsak poimenuje po svoje.
Poglej in se nasmej: vicmaher.si

Mr.B ::

France Rejects Genocide Accusations Against Israel in Gaza,
To accuse the Jewish state of genocide is to cross a moral threshold

Jarno ::

Niti gostota tranzistorjev še ni garant za zanesljive špekulacije o p/w oz. o frekvenčni krivulji.

Ko smo gledali Intelove muke z 10nm, so se nam zdele napovedi o 7nm zen2 pravljice za lahko noč.
Ampak je TSMC-ju uspelo zagotoviti možnost, da se na finejših proizvodnih procesih dosegajo visoke frekvence.

Arrow Lake retail (non-oc max) freq. bo tako npr. okoli 5.5GHz, kar je caa 10% manj od dosedanjih 14th gen prvakov.
#65W!

Mr.B ::

France Rejects Genocide Accusations Against Israel in Gaza,
To accuse the Jewish state of genocide is to cross a moral threshold

Zimonem ::

O nm-jih govoriti je v času finfetov in gaa tranzistorjev preživeto. To se je uporabljalo za planarne arhitekture. High NA itak nebo uporaben prav kmalu, je preveč problemov zaenkrat. TSMC predvidoma rubrike okrog teh mašin načrtuje za konec desetletja.

Intel hoče sforsirat idm2 na račun subvencij , potem Amerika gladko odpika TSMC.kot so to storili za Japonci.

fikus_ ::

Zna biti, da se bo šlo iz tranzistorskih na svetlobne čipe.
https://www.livescience.com/technology/...
https://interestingengineering.com/scie...

Kateri bodo prekašali sedanje v zmogljivosti.
Učite se iz preteklosti, živite v sedanjosti in razmišljajte o prihodnosti.

Mr.B ::

Zimonem je izjavil:

O nm-jih govoriti je v času finfetov in gaa tranzistorjev preživeto. To se je uporabljalo za planarne arhitekture. High NA itak nebo uporaben prav kmalu, je preveč problemov zaenkrat. TSMC predvidoma rubrike okrog teh mašin načrtuje za konec desetletja.

Intel hoče sforsirat idm2 na račun subvencij , potem Amerika gladko odpika TSMC.kot so to storili za Japonci.

Ne razumem, TSMC gradi in ima tovarne na Japosnkem, üe bolje celo boljšo litografijo od ameriške gradi tam. Tam bo lahko delal next gen Apple cipe, kar jih v ameriki ne bo.
France Rejects Genocide Accusations Against Israel in Gaza,
To accuse the Jewish state of genocide is to cross a moral threshold

kow ::

Bojda so zagnali proizvodnjo na irskem za xeon6 (intel 3 proces).

https://wccftech.com/intel-3-process-no...

Mr.B ::

kow je izjavil:

Bojda so zagnali proizvodnjo na irskem za xeon6 (intel 3 proces).

https://wccftech.com/intel-3-process-no...

Ej kako bo če bo moral intel kupiti nazaj kompletno 13, in 14 generacijo in verjetno še kaj, pomenilo za Intelove finance ? Sej veš instability issues…

Še dodamo, ko nekateri radi podpirate kapistaliste...
Intel mega corporations free of consequences market: Besides using our taxpayers money for their predatory Buybacks paper profits ransacking America also defrauding their 'beloved ' shareholders...
It also turned out that Intel outsources roughly 30% of its production to TSMC and other contract chipmakers; this upset investors even further. When the company reported results of its manufacturing arm in Q1 2024 (on April 25, 2024), it turned out that Intel Foundry lost $2.5 billion on revenue of $4.4 billion in Q1 2024. About one-third of Intel's capitalization has been lost since the beginning of the year.

The complaint alleges that Intel's Foundry Services's growth and profit were misrepresented, experiencing significant losses and declining product profit in 2023. This led to misleading positive statements about the Company's business and Intel Foundry strategy. This is what Levi & Korsinsky's filed class action suit is all about.

Investors who believe they lost money on Intel's stock from January 24 to April 25, 2024, have until July 2, 2024, to request to be the lead plaintiff.


Pa da ne bo pomote recimo Boeing je tudi dobil cca 100 miljonv ti subsidies , ki so še za stock buyback.
France Rejects Genocide Accusations Against Israel in Gaza,
To accuse the Jewish state of genocide is to cross a moral threshold

Zgodovina sprememb…

  • spremenil: Mr.B ()


Vredno ogleda ...

TemaSporočilaOglediZadnje sporočilo
TemaSporočilaOglediZadnje sporočilo
»

14th Gen Intel Core - Meteor Lake (strani: 1 2 3 4 5 )

Oddelek: Strojna oprema
23624986 (288) tikitoki
»

Intel lansiral procesorje Core Ultra

Oddelek: Novice / Procesorji
244336 (1949) BT52
»

Intel lansiral procesorje Core 14. generacije

Oddelek: Novice / Procesorji
184288 (2604) Mr.B
»

Intel z arhitekturo Meteor Lake uvaja kopico novosti

Oddelek: Novice / Procesorji
195093 (2339) smrko
»

Alder Lake ali Intelovo žuganje Applu M1

Oddelek: Novice / Procesorji
157182 (4955) Jarno

Več podobnih tem