» »

Kaj izbrati VHDL ali VERILOG ?

Kaj izbrati VHDL ali VERILOG ?

VolkD ::

Jezika sodita v skupino orodij za pisanje hardware. Stvar se reskeje sreča, zato ne pričakujem ogromno znalcev. No upam pa le, da se bo našel kdo z nasvetom.

Brane2 ::

Pravijo, da je VHDL veliko močnejši, poleg tega pa je namenjen še masričemu drugemu, ne samo čisti sintezi.

VEndar pa naj bi cenejši softver imel večje težave pri optimizaciji VHDLa.

To je tisto, kar sem pobral leta nazaj, ko sem se nazadnje, tako ljubiteljsko ubadal s tem.

Ne o enem ne drugem ne vem veliko. Par vrstic sem napisal v VHDLu, vendar se mi je zdel preveč izumetničen.

ZA tistih par simpl logičnih vezij, ki sem jih stlačil v CPLD je bil ABEL čezinčez dost...
On the journey of life, I chose the psycho path.

VolkD ::

No nekaj stvari sem napisal tudi sam v VHDL, a sem opazil, da ima ALTERA nekaj več povdarka na VERILOG-u. Ker se mi zdaj ne da ravno učiti dva konkurenčna jezika sem vprašal. No preproate stvari sem preizkusil z obema. Tako pri ALTERINEM QuartusII , kot tudi pri XILINX Ise webpack. Bistvenih razlik nisem opazil.

Zato bi rad kakšen bolj konkreten odgovor. Po možnosti od koga, ki se s tem ukvarja malo bolj resno.

Brane2 ::

Aha. Bi zanimalo tudi mene. Če dobiš kje drugje odgovor, postaj sem. :)
On the journey of life, I chose the psycho path.

krho ::

Če bi rad delal pri nas. Na izbiro boš imel največ eno firmo. bivši Flextroncs (pozabil kako se sedaj imenuje) potem vsekakor Verilog. Za nameček pa je AFAIR tudi sintaksa kar precej podobna Cjevski..
si.Mail odprto-kodni odjemalec elektronske pošte. - http://www.simail.si
Uredite si svojo zbirko filmov, serij in iger - http://xcollect.sf.net

madviper ::

Če ni odveč, bi se priporočal za opis čemu služita ta dva jezika. Predvidevam, da nisem edini, ki še ni slišal za to, vendar me zanima, da bom vsaj vedel o čem teče beseda.
I'd like to do something crazy!

VolkD ::

Ne ne gre za delo. Stvar je bolj na nivoju hoby-ja.

madviper mogoče imaš pa prav. Zanimivo bi bilo napisat kaj več o tem. Recimo kak članek. Do takrat pa na kratko:

Ta dva jezika, pa še nekaj drugih, služita za načrtovanje funkcij in delovanja hardware. Čeprav se to čudno sliši, a v praksi se temu reče pisati hardware.
VHDL je nastal preden so nastali čipi, ki omogočajo programabilno logiko, zato sem prejšnji odstavek namerno napisal pred sledečimi dobrotami.

V VHDL ali VERILOG-u opišeš funkcije in delovanje nekega elektronskega vezja, potem pa tak opis ( program) prevedeš in nato prepišeš v univerzalni čip, ki s tem pridobi opisano funkcijo. Taki univerzalni čipi se delijo na več skupin ( FPGA, CPLF,.. tudi GAL in PAL čipi bi še spadali sem) . Taki čipi vsebijejo od nekaj 10 do nekaj milionov vrat, ki jih prevajalnik ustrezno poveže med seboj, tako da čip opravlja funkcijo opisano v VHDL ali VERILOG.

madviper ::

Em nisem ziher, če sem prav razumel, ampak mi smo v sredni delali z PLK-ji na podoben način. A je to kaj podobnega?
I'd like to do something crazy!

VolkD ::

Hmm, ne vem, ker ne vem kaj je PLK.
V zgornjem postu sem pa nehote napisal CPLF nameto CPLD.

krho ::

Mislim, da se je zakucal. Pomoje je mislil PLC krmilnike.
si.Mail odprto-kodni odjemalec elektronske pošte. - http://www.simail.si
Uredite si svojo zbirko filmov, serij in iger - http://xcollect.sf.net

VolkD ::

Ja verjetno bo to to. Sam PLC nima prav dosti z današnjim posanjem hardwara.
Ja sintaksa pri Verilog je res bolj C-jevska, pri VHDL pa bolj paskalska, a kake druge razlike nisem uspel opaziti. Zanima me tudi, če je možno v enem projektu uporabljati oba jezika ? To bi manreč mnogokrat prišlo prav. Saj če imaš neko komponento že napisano, je škoda da bi jo pisal ponovno. Nekaj sem gledal, a tako pri Alteri, kot tidi priXilinxu nisem našel možnosti, da bi to lahko ukomponiral v eno celoto.

Zgodovina sprememb…

  • spremenil: VolkD ()

BluPhenix ::

V bistvu s tema "programskima" jezikoma napišeš hardvare. Po domače povedano narediš svoj čip.

Tako se danes tudi večinoma razvijajo čipi, ki gredo hitro v komercializacijo. In tudi drugi. Pač inženirji opišejo v VHDLu ali Verilogu hardware, torej njegovo delovanje. Za takojšnje testiranje se navadno uporabljajo FPGA (field programmable gate array) univerzalni čipi. Če je zadeva količinsko dovolj zanimiva, gre "datoteka", ki jo izdelata programska jezika direkt k proizvajalcu integriranih vezij, kjer naredijo pravi čip.

Veliko podjetij naprimer izdeluje le HDL (hardware definition language - tako se imenuje ta zadeva) datoteke in potem svoje izdelke prodajajo drugim izdelovalcem. Tako nastajajo naprimer ARM mikrokontrolerji, kjer podjetje ARM izdeluje le jedro v HDL jeziku in le to potem prodaja drugim proizvajalcem, ki dodajo ostalo periferijo in zadevo zapakirajo v čip.

Bivši Flextronics se danes imenuje Insilica. ŠE vedno delajo isto, le da so se kadri malo spremenili.


HDL je recimo uporaben tudi, ko hočeš narediti zadevo da je SOC (system on chip). Recimo če nočeš imeti po vezju en kup čipovja daš na vezje en FPGA in na njega povežeš lepo vse kar ti srce poželi. Nato ta FPGA z VHDlom sprogramiraš da ti dela kar hočeš.

Primer: želim imeti mp3 predvajalnik, z ethernet povezavo, vse skupaj pa naj nadzoruje mikrokormilnik. Če želiš zadevo narediti s FPGAjem lepo v HDL jeziku napišeš modul za mikroprocesor, modul za mp3 dekoder in modul za ethernet adapter. Daš vse skupaj v en sistem in lepo sprogramiraš FPGA. Rezultat: tri ločene čipe si spravil v enega. Super ne?

Glede VHDLa in Veriloga nimam nekih ogromnih izkušenj (nekaj malega s faksa). Uporabljali smo VHDL in meni se sploh ni zdel tako "umeten" al kar že pravi Brane. Bil mi je precej logičen in enostaven za pisanje.
Kolikor sem bral se večinoma razlikujeta v nekih deklaracijah spremenljivk in po tem, kako delujejo notranje povezave. Polek seveda malo različnega compilanja in podobno. V bistvu pa če znaš enega ni nek grozen problem. Drugega se ni težko naučiti, dandanes pa večinoma vsi HDL programski paketi obvladajo oboje (npr. ActiveHDL), tako da lahko pišeš malo v enem malo v drugem. SIcer ni najboljša rešitev ampak se da.

Še zanimivost. Nekateri prvi DVD snemalniki (mislim da Panasonicovi, nisem siguren) so bili dobavljeni s FPGA čipom, namesto s "pravim" čipom. To pa zato, ker je hotel izdelovalec čim prej na trg in ni hotel čakati prvih primerkov čipov, med drugim pa se tako tudi lažje odpravlja začetne težave.
Podpisa ni več, ker so me poskušali asimilirati.

madviper ::

Ja mislo sem PLC pa sem se zmoto. Zadeva je mal primitvna, vendar je dokaj podobna temu kar vi pravite, razem da so krmilnik malenkost večji :D, predvsem kr je bol namenjen uporabi v energetiki, kot pa elektroniki.

Zanimiva in uporabna stvar. Dajte še mal o tem napisat. Zdaj ko sem bral to sem se spomno, da smo mel v sredni pri Digitalnih sistemih in krmiljih nekaj govora tud v tej smeri. Sam da takrat nisem posvečal velik pozornosti temu.
I'd like to do something crazy!

icek ::

Sam sem zadnje case zacel delati z FPGAji. Nisem neki mojster, ampak se dajo naret zanimive stvari. Sam se nisem toliko pogljabljal v HDL jezike, ampak dizajn kar programiram graficno. Ustrezni prevajalniki ti potem zgenerirajo VHDL. Verjamem, da to ni najbolj optimalno, ampak najlazje in dela tudi.
Rider of Theli
www.air-tv.net

VolkD ::

Dokler so vezja enostavna, je to res najlažje, a ko si zaćželiš kake bolj kompleksne stvari, je to siguren način, da se izgubiš. Tudi sicer je bolj pametno, da opišeš funcijo, ki jo potrebuješ. Če sestavljaš stvari iz poznanih "lego kock" lahko vezje nepotrebno zakompliciraš, samo zato, ker poznaš premalo "lego kock" ali pa ker željene sploh ni na razolago. Zato bi ti priporočal, da preštudiraš kak od obeh jezikov. Katerega pa ne vem, tu sem še v dvomih.

krho ::

Za Verilog imam jaz knjigo v pdf obliki. Kolega, se je učil iz papirante veerzije je rekel, da je to ena izmed najboljših knjig za učenje Veriloga.
No že samo, če pogledate opencores.org boste videli, da je večina "jeder" napisana v Verilogu.

Mene še vedno mika, da bi za diplomsko naredil logični analizator v Spartan 2 ali 3 FPGAju.:\
si.Mail odprto-kodni odjemalec elektronske pošte. - http://www.simail.si
Uredite si svojo zbirko filmov, serij in iger - http://xcollect.sf.net

BluPhenix ::

Tisti, ki bi se radi naučili osnov VHDLa, se lahko obrnete na stran Fakultere za elektrotehniko.
Bolj točno na stran predmeta Integrirana vezja. TUkaj boste našli knjigo ki vodi po prvih korakih v VHDLu.

Ker je predmet namenjen integriranim vezjem na splošno je gor tudi precej gradiva o sami izdelavi integriranih vezij, topologij, tehnologij, ....

Veselo branje!
Podpisa ni več, ker so me poskušali asimilirati.

sid_dabster ::

Jaz osebno priporocam Verilog. Saj ne, da bi imel kar koli proti VHDL-ju, ampak za ljudi, ki so vajeni programirati (delo z VHDL-jem oz. Verilogom NI programiranje, da se razumemo) v C-ju (npr. jaz), bo Verilog precej bolj domac ze samo zaradi sintakse ter zaradi manj strogih pravil pri konverziji tipov.
VHDL je veliko bolj strog glede nekaterih stvari, ki so za izkusenega nacrtovalca ze samoumevne, zato tudi morda ni tako slaba izbira za zacetek, kasneje se pa lahko clovek ze sam odloci, kako bo nadaljeval.

In poleg ostalega je pri VHDL-ju veliko vec "overheada": potrebno je napisati relativno ogromno kode za dolocene majhne stvari, npr. za pripenjanje zunanjega modula (port map) itd. Skratka, PRECEJ vec je tipkanja.
Fallen beyond all grace deeper and deeper
The sound of her own blood dripping
Like sacred tears from a bleeding rose...( Embraced, Within)

VolkD ::

krho, pa ne da bi mo rad prevzel idejo 8-O No ja, pa saj bo za oba dovolj dela .. Na PC strani imam že kar nekaj narejenega, ker sem to delal za en precej počasnejši logočni analizator.
Če imaš čeljo lahko izmenjava ideje. Priporočil bi se za pdf verzijo verilog knjige. kake so cene xilinx fpga-jev? Si o Alteri tudi kaj razmišljal?

BluPhenix hvala, a tisti pdf sem že prečital in to z velikim veseljem. Obstaja še kaka literatura v slovenščini, ali je to vse ?

sid_dabster (delo z VHDL-jem oz. Verilogom NI programiranje, da se razumemo), če bi mi kdo tako naravnost tole povedal pred kakim mesecem, bi mi prihranil precej muk pri razumevanju stvari. Celo življenje namreč programiram. Spremeniti način razmišljanja je potem zelo težko. Že samo dejstvo, da se vsi ukazi izvajajo paralelno, mi je bilo skoraj nemogoče razumeti, dokler nisem dojel celotne filozofije. Sam sem večino tega programiral v ( ne C) jezikih, tako da mi je VHDL nekako bližji. Sem se sicer že odločil za VHDL, a nekako se oziram še za Verilog-om in iščem razlog, da bi kar oba malo bolje preštudiral.
Razmišljam kako bi te spodbudil, da napišeš en članek tu na slo-tech o osnovah veriloga. Verjamem, da bi ga še dolgo časa mnogokdo čital.

Aja, p ače pomaga kaka podkupnina.. plačam pico :P

krho ::

VolkD: O tem sem jaz sanjal že leta 2000, ko sem se vpisal na faks... Ker sem receimo temu, kar se učenja za faks tiče bolj lene sorte, poleg tega pa mi matematika povzroča precej preglavic sem še vedno v 2let. Letos imam namen narediti pogoje za 3 let, ter v naslednjih 2h letih potem dokončati vse skupaj, ker segaj gre pa tudi že meni vse skupaj na en organ. Pa da o tem ne smetiva več teme greva o tem raje preko ZS.
si.Mail odprto-kodni odjemalec elektronske pošte. - http://www.simail.si
Uredite si svojo zbirko filmov, serij in iger - http://xcollect.sf.net

Brane2 ::

Nekdo je omenjal VHDL/Verilog tutorial. Imam nekje nekaj starega, tako da če je stvar "open source", se priporočam za kopijo...
On the journey of life, I chose the psycho path.

madviper ::

Pa da ne bo zdaj vsak pisal, da bi mel ta turtorial (kr jaz ga bi se tud priporočal zanj), priporočam da se stvar nekam naloži, pa se postavi link v ta topic. Razen, če je to proti pravilom ST.
I'd like to do something crazy!

krho ::

Ni tutorial, prav knjiga je. Smo najti jo moram:8) In ja zadeva je definitivno proti pravilom ST. Bom povedal, ko bom našel...
si.Mail odprto-kodni odjemalec elektronske pošte. - http://www.simail.si
Uredite si svojo zbirko filmov, serij in iger - http://xcollect.sf.net

Brane2 ::

Malo prej sem na www.aldec.com potegnil dol njihova tutoriala tako za VHDL kot za Verilog. Stvari so videti precej okorne in začetniške, a bolje kot nič.

Bomo iskali naprej.
On the journey of life, I chose the psycho path.

BluPhenix ::

Brane2 moj link si pogledal? Ni ne vem kaj ampak nekatere stavri so bolje razložene kot pa v kakšni namenski knjigi
Podpisa ni več, ker so me poskušali asimilirati.

Brane2 ::

Je nice, a za moj okus preveč začetniško in splošno.

GALe, PALe, SPLDje in CPLDje sem že davno dal skozi, tako da precej tega mi je znano, radi bi pa več.
On the journey of life, I chose the psycho path.

sid_dabster ::

Meni je precej prav prisel Verilog tutorial na asic-world. Stvar je kar precej podrobno razdelana. Za VHDL pa je dober tutorial na vhdl-online, ceprav je internetna stran sama po sebi malo nerodno izdelana (posebno okno za meni itd.).
Fallen beyond all grace deeper and deeper
The sound of her own blood dripping
Like sacred tears from a bleeding rose...( Embraced, Within)

VolkD ::

Vhdl-online mi je kar všeč. Ja je pa res, da imam priključena 2 monitorja, pa je to potem pri taki strani velik plus. Tudi sicer je lepo, če imaš istočasno delovni program in nek help na vidiku.


Vredno ogleda ...

TemaSporočilaOglediZadnje sporočilo
TemaSporočilaOglediZadnje sporočilo
»

FPGA

Oddelek: Elektrotehnika in elektronika
223009 (2361) BluPhenix
»

programiranje procesorja 2. del :P

Oddelek: Elektrotehnika in elektronika
262427 (1828) BluPhenix
»

Odprtokodni procesor S1

Oddelek: Novice / Procesorji
252886 (2148) krneki0001
»

generiranje kvadratnih pulzov - tehnike

Oddelek: Elektrotehnika in elektronika
91231 (1155) ql000
»

Prvi procesor, ki se ga da prilagoditi s programiranjem

Oddelek: Novice / Procesorji
52343 (2343) Tomaz

Več podobnih tem